aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/xilinx/cells_sim.v
Commit message (Collapse)AuthorAgeFilesLines
* xilinx: Add simulation model for IBUFG.Marcin Kościelnicki2019-10-101-0/+11
|
* Rename abc_* names/attributes to more precisely be abc9_*Eddie Hung2019-10-041-19/+19
|
* Add LDCE/LDPE sim library, remove from *cells_xtra.{v,py}Eddie Hung2019-09-301-0/+44
|
* Merge remote-tracking branch 'origin/clifford/fix1381' into xc7dspEddie Hung2019-09-191-8/+44
|\
| * Use extractinv for synth_xilinx -iseMarcin Kościelnicki2019-09-191-8/+44
| |
* | Mis-spellEddie Hung2019-09-181-10/+25
| |
* | Add pattern detection support for DSP48E1 model, check against vendorEddie Hung2019-09-181-4/+43
| |
* | Merge remote-tracking branch 'origin/master' into xc7dspEddie Hung2019-09-051-26/+70
|\|
| * Remove trailing spaceEddie Hung2019-08-301-2/+2
| |
| * Merge remote-tracking branch 'origin/master' into xaig_arrivalEddie Hung2019-08-281-15/+78
| |\
| * | Put attributes above portEddie Hung2019-08-231-19/+46
| | |
| * | Merge remote-tracking branch 'origin/master' into xaig_arrivalEddie Hung2019-08-231-5/+10
| |\ \
| * | | Add abc_arrival to SRL*Eddie Hung2019-08-211-3/+5
| | | |
| * | | OopsEddie Hung2019-08-201-1/+1
| | | |
| * | | xilinx to use abc_map.v with -max_iter 1Eddie Hung2019-08-201-3/+6
| | | |
| * | | Add reference to FD* timingEddie Hung2019-08-201-0/+2
| | | |
| * | | Remove sequential extensionEddie Hung2019-08-201-8/+16
| | | |
| * | | Remove SRL* delays from cells_sim.vEddie Hung2019-08-201-5/+3
| | | |
| * | | Wrap LUTRAMs in order to capture comb/seq behaviourEddie Hung2019-08-201-15/+9
| | | |
| * | | Add LUTRAM delaysEddie Hung2019-08-201-3/+6
| | | |
| * | | Use abc_{map,unmap,model}.vEddie Hung2019-08-201-8/+0
| | | |
| * | | Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-08-201-2/+2
| |\ \ \
| * | | | Add arrival times for SRL outputsEddie Hung2019-08-191-3/+5
| | | | |
* | | | | Merge branch 'xc7dsp' of github.com:YosysHQ/yosys into xc7dspEddie Hung2019-08-301-24/+79
|\ \ \ \ \
| * \ \ \ \ Merge branch 'master' into xc7dspDavid Shah2019-08-301-24/+91
| |\ \ \ \ \ | | | |_|_|/ | | |/| | |
| | * | | | Add (* clkbuf_sink *) to SRLC16E, reorder ports to match vendorEddie Hung2019-08-281-3/+8
| | | | | |
| | * | | | xilinx: Add SRLC16E primitive.Marcin Kościelnicki2019-08-271-1/+21
| | | | | | | | | | | | | | | | | | | | | | | | Fixes #1331.
| | * | | | Merge branch 'master' into mwk/xilinx_bufgmapEddie Hung2019-08-231-1/+1
| | |\ \ \ \ | | | | |_|/ | | | |/| |
| | | * | | Forgot oneEddie Hung2019-08-231-1/+2
| | | | | |
| | * | | | Merge branch 'master' into mwk/xilinx_bufgmapEddie Hung2019-08-231-11/+22
| | |\| | |
| | | * | | Put abc_* attributes above portEddie Hung2019-08-231-7/+14
| | | | |/ | | | |/|
| | * | | Merge remote-tracking branch 'origin/master' into mwk/xilinx_bufgmapEddie Hung2019-08-231-14/+20
| | |\| |
| | * | | move attributes to wiresMarcin Kościelnicki2019-08-131-33/+42
| | | | |
| | * | | Add clock buffer insertion pass, improve iopadmap.Marcin Kościelnicki2019-08-131-0/+16
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | A few new attributes are defined for use in cell libraries: - iopad_external_pin: marks PAD cell's external-facing pin. Pad insertion will be skipped for ports that are already connected to such a pin. - clkbuf_sink: marks an input pin as a clock pin, requesting clock buffer insertion. - clkbuf_driver: marks an output pin as a clock buffer output pin. Clock buffer insertion will be skipped for nets that are already driven by such a pin. All three are module attributes that should be set to a comma-separeted list of pin names. Clock buffer insertion itself works as follows: 1. All cell ports, starting from bottom up, can be marked as clock sinks (requesting clock buffer insertion) or as clock buffer outputs. 2. If a wire in a given module is driven by a cell port that is a clock buffer output, it is in turn also considered a clock buffer output. 3. If an input port in a non-top module is connected to a clock sink in a contained cell, it is also in turn considered a clock sink. 4. If a wire in a module is driven by a non-clock-buffer cell, and is also connected to a clock sink port in a contained cell, a clock buffer is inserted in this module. 5. For the top module, a clock buffer is also inserted on input ports connected to clock sinks, optionally with a special kind of input PAD (such as IBUFG for Xilinx). 6. Clock buffer insertion on a given wire is skipped if the clkbuf_inhibit attribute is set on it.
* | | | | Merge remote-tracking branch 'origin/master' into xc7dspEddie Hung2019-08-201-8/+20
|\ \ \ \ \ | | |_|/ / | |/| | |
| * | | | Unify abc_carry_{in,out} into abc_carry and use port dir, as @mithroEddie Hung2019-08-191-2/+2
| | |_|/ | |/| |
| * | | Attach abc_scc_break, abc_carry_{in,out} attr to ports not modulesEddie Hung2019-08-161-8/+20
| | |/ | |/|
* | | Add assign PCOUT = P to DSP48E1Eddie Hung2019-08-131-0/+2
| |/ |/|
* | Fix copy-pasta typoEddie Hung2019-08-081-2/+2
| |
* | DSP48E1 sim model: add SIMD testsDavid Shah2019-08-081-1/+1
| | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
* | DSP48E1 model: test CE inputsDavid Shah2019-08-081-5/+8
| | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
* | DSP48E1 sim model: seq test workingDavid Shah2019-08-081-6/+13
| | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
* | DSP48E1 sim model: Comb, no pre-adder, mode workingDavid Shah2019-08-081-2/+3
| | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
* | [wip] sim model testingDavid Shah2019-08-081-2/+2
| | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
* | [wip] sim model testingDavid Shah2019-08-081-40/+49
| | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
* | [wip] DSP48E1 sim model improvementsDavid Shah2019-08-071-6/+82
| | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
* | [wip] DSP48E1 sim model improvementsDavid Shah2019-08-061-23/+120
| | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
* | [wip] DSP48E1 sim model improvementsDavid Shah2019-08-061-8/+75
| | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
* | SignednessEddie Hung2019-07-161-7/+7
| |
* | Merge branch 'xc7dsp' of github.com:YosysHQ/yosys into xc7dspEddie Hung2019-07-161-1/+1
|\ \