aboutsummaryrefslogtreecommitdiffstats
path: root/README.md
Commit message (Collapse)AuthorAgeFilesLines
* Removing old manual from README.mdKrystalDelusion2022-12-081-36/+3
|
* Remove docs dependency on yosys repo (#3558)KrystalDelusion2022-11-241-1/+1
| | | | | | | | | | | * Copies guidelines files into docs/ for website * Copying manual/CHAPTER_Prog for new docs * Copying manual/APPNOTE_011... for new docs Also adding faketime to list of packages for website build. Co-authored-by: KrystalDelusion <krystinedawn@yosyshq.com>
* Rst docs conversion (#3496)KrystalDelusion2022-11-151-0/+24
| | | Rst docs conversion
* Mention smtlib2_module in README.md and CHANGELOGJannis Harder2022-07-041-0/+12
|
* mention distributions' package managerN. Engelhardt2022-01-171-0/+1
|
* mention tabby+oss cad suite in readmeN. Engelhardt2022-01-041-6/+16
|
* verilog: use derived module info to elaborate cell connectionsZachary Snow2021-10-251-0/+5
| | | | | | | | - Attempt to lookup a derived module if it potentially contains a port connection with elaboration ambiguities - Mark the cell if module has not yet been derived - This can be extended to implement automatic hierarchical port connections in a future change
* Use HTTPS for website links, gatecat emailClaire Xenia Wolf2021-06-091-4/+4
| | | | | | | | | | git ls-tree -r --name-only HEAD | xargs sed -i -rf ~/fixemails.sed s/((Claire|Xen|Xenia|Clifford)\s+)+(Wolf|Xen)\s+<(claire|clifford)@(symbioticeda.com|clifford.at|yosyshq.com)>/Claire Xenia Wolf <claire@yosyshq.com>/gi; s/((Nina|Nak|N\.)\s+)+Engelhardt\s+<nak@(symbioticeda.com|yosyshq.com)>/N. Engelhardt <nak@yosyshq.com>/gi; s/((David)\s+)+(Shah|gatecat)\s+<(dave|david|gatecat)@(symbioticeda.com|yosyshq.com|ds0.me)>/gatecat <gatecat@ds0.me>/gi; s/((Miodrag)\s+)+Milanovic\s+<(miodrag|micko)@(symbioticeda.com|yosyshq.com)>/Miodrag Milanovic <micko@yosyshq.com>/gi; s,https?://www.clifford.at/yosys/|http://yosyshq.net/yosys/,https://yosyshq.net/yosys/,g;
* Fixing old e-mail addresses and deadnamesClaire Xenia Wolf2021-06-081-5/+5
| | | | | | | | s/((Claire|Xen|Xenia|Clifford)\s+)+(Wolf|Xen)\s+<(claire|clifford)@(symbioticeda.com|clifford.at|yosyshq.com)>/Claire Xenia Wolf <claire@yosyshq.com>/gi; s/((Nina|Nak|N\.)\s+)+Engelhardt\s+<nak@(symbioticeda.com|yosyshq.com)>/N. Engelhardt <nak@yosyshq.com>/gi; s/((David)\s+)+Shah\s+<(dave|david)@(symbioticeda.com|yosyshq.com|ds0.me)>/David Shah <dave@ds0.me>/gi; s/((Miodrag)\s+)+Milanovic\s+<(miodrag|micko)@(symbioticeda.com|yosyshq.com)>/Miodrag Milanovic <micko@yosyshq.com>/gi; s,https?://www.clifford.at/yosys/,http://yosyshq.net/yosys/,g;
* split CodingReadme into multiple filesN. Engelhardt2021-03-221-1/+1
|
* flatten: preserve original object names via hdlname attribute.whitequark2020-06-081-1/+3
|
* Generalise structs and add support for packed unions.Peter Crozier2020-05-121-1/+1
|
* Implement SV structs.Peter Crozier2020-05-081-0/+2
|
* Add "nowrshmsk" attribute, fix shift-and-mask bit slice write for signed ↵Claire Wolf2020-05-021-0/+3
| | | | | | offset, fixes #1990 Signed-off-by: Claire Wolf <claire@symbioticeda.com>
* README: explain how to do out-of-tree builds.whitequark2020-04-241-1/+8
|
* ast/simplify: improve enum handling.whitequark2020-04-151-2/+2
| | | | | | | | | | | | | | | | | | | | | Before this commit, enum values were serialized as attributes of form \enum_<width>_<value> where <value> was a decimal signed integer. This has multiple drawbacks: * Enums with large values would be hard to process for downstream tooling that cannot parse arbitrary precision decimals. (In fact Yosys also did not correctly process enums with large values, and would overflow `int`.) * Enum value attributes were not confined to their own namespace, making it harder for downstream tooling to enumerate all such attributes, as opposed to looking up any specific value. * Enum values could not include x or z, which are explicitly permitted in the SystemVerilog standard. After this commit, enum values are serialized as attributes of form \enum_value_<value> where <value> is a bit sequence of the appropriate width.
* Support module/package/interface/block scope for typedef names.Peter Crozier2020-03-231-2/+0
|
* Update CopyrightClaire Wolf2020-03-161-1/+1
| | | | Signed-off-by: Claire Wolf <claire@symbioticeda.com>
* License: bump year and add titleWaldir Pimenta2020-03-141-1/+1
|
* Small fixesEddie Hung2020-02-271-6/+6
|
* xilinx: improve specify functionalityEddie Hung2020-02-271-1/+6
|
* xilinx: use specify blocks in place of abc9_{arrival,required}Eddie Hung2020-02-271-13/+2
|
* Merge pull request #1642 from jjj11x/jjj11x/sv-enumClaire Wolf2020-02-201-0/+17
|\ | | | | Enum support
| * update documentation for enums and typedefsJeff Wang2020-02-171-0/+17
| |
* | Add comment for macOS dependency installMiodrag Milanović2020-02-151-1/+1
| |
* | Merge pull request #1661 from YosysHQ/eddie/abc9_requiredEddie Hung2020-02-051-4/+9
|\ \ | | | | | | abc9: add support for required times
| * | Fix typoEddie Hung2020-01-271-1/+1
| | |
| * | Update README.md for (* abc9_required *)Eddie Hung2020-01-151-4/+9
| |/
* / Update CHANGELOG and READMEDavid Shah2020-02-021-0/+4
|/ | | | Signed-off-by: David Shah <dave@ds0.me>
* Reword (* abc9_flop *) descriptionEddie Hung2020-01-061-2/+3
|
* Restore abc9 -keepffEddie Hung2020-01-011-3/+0
|
* Add CHANGELOG entry, add abc9_{flop,keep} attr to README.mdEddie Hung2019-12-301-0/+6
|
* Put specify/endspecify inside ``Eddie Hung2019-12-201-4/+4
|
* Update README.md :: abc_ -> abc9_Eddie Hung2019-12-111-3/+3
|
* clkbufmap: Add support for inverters in clock path.Marcin Kościelnicki2019-11-251-0/+7
|
* Update CHANGELOG and READMEDavid Shah2019-11-221-0/+5
| | | | Signed-off-by: David Shah <dave@ds0.me>
* Update CHANGELOG and READMEDavid Shah2019-10-031-0/+2
| | | | Signed-off-by: David Shah <dave@ds0.me>
* Added extractinv passMarcin Kościelnicki2019-09-191-0/+6
|
* Merge pull request #1312 from YosysHQ/xaig_arrivalEddie Hung2019-09-051-8/+5
|\ | | | | Allow arrival times of sequential outputs to be specified to abc9
| * Merge remote-tracking branch 'origin/master' into xaig_arrivalEddie Hung2019-08-301-14/+14
| |\
| * \ Merge remote-tracking branch 'origin/master' into xaig_arrivalEddie Hung2019-08-301-1/+1
| |\ \
| * \ \ Merge remote-tracking branch 'origin/master' into xaig_arrivalEddie Hung2019-08-281-0/+15
| |\ \ \
| * \ \ \ Merge remote-tracking branch 'origin/master' into xaig_arrivalEddie Hung2019-08-231-3/+6
| |\ \ \ \
| * | | | | Add (* abc_arrival=<int> *) docEddie Hung2019-08-201-0/+5
| | | | | |
| * | | | | Deprecate `abc_scc_break` attributeEddie Hung2019-08-201-8/+0
| | | | | |
* | | | | | Update README.mdClifford Wolf2019-09-051-1/+2
| | | | | | | | | | | | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | | | | Rename conflicting wires on flatten/techmap, add "hierconn" attribute, fixes ↵Clifford Wolf2019-09-051-0/+3
| |_|_|_|/ |/| | | | | | | | | | | | | | | | | | | | | | | | #1220 Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | | | Merge pull request #1340 from YosysHQ/eddie/abc_no_cleanEddie Hung2019-08-301-17/+17
|\ \ \ \ \ | |_|_|_|/ |/| | | | abc9 to not call "clean" at end of run (often called outside)
| * | | | Group abc_* attribute doc with other attributesEddie Hung2019-08-291-17/+17
| | |_|/ | |/| |
* / | | Format `-pwires`Eddie Hung2019-08-301-1/+1
|/ / /