aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/xilinx/cells_sim.v
Commit message (Collapse)AuthorAgeFilesLines
* Add reference to FD* timingEddie Hung2019-08-201-0/+2
|
* Remove sequential extensionEddie Hung2019-08-201-8/+16
|
* Remove SRL* delays from cells_sim.vEddie Hung2019-08-201-5/+3
|
* Wrap LUTRAMs in order to capture comb/seq behaviourEddie Hung2019-08-201-15/+9
|
* Add LUTRAM delaysEddie Hung2019-08-201-3/+6
|
* Use abc_{map,unmap,model}.vEddie Hung2019-08-201-8/+0
|
* Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-08-201-2/+2
|\
| * Unify abc_carry_{in,out} into abc_carry and use port dir, as @mithroEddie Hung2019-08-191-2/+2
| |
* | Add arrival times for SRL outputsEddie Hung2019-08-191-3/+5
|/
* Attach abc_scc_break, abc_carry_{in,out} attr to ports not modulesEddie Hung2019-08-161-8/+20
|
* xilinx: Fix the default values for FDPE/FDSE INIT attributes to match ↵Marcin Kościelnicki2019-07-111-2/+2
| | | | ISE/Vivado.
* Revert "Fix broken MUXFx box, use MUXF7x2 box instead"Eddie Hung2019-07-011-3/+3
| | | | This reverts commit a9a140aa6c84e71edc1a244cfe363400c7e09d90.
* Fix broken MUXFx box, use MUXF7x2 box insteadEddie Hung2019-07-011-3/+3
|
* Fix CARRY4 abc_box_idEddie Hung2019-06-281-1/+1
|
* Merge remote-tracking branch 'origin/master' into xc7muxEddie Hung2019-06-281-2/+2
|\
| * Refactor for one "abc_carry" attribute on moduleEddie Hung2019-06-271-2/+2
| |
| * Merge origin/masterEddie Hung2019-06-271-1/+1
| |
* | Merge remote-tracking branch 'origin/xaig' into xc7muxEddie Hung2019-06-261-3/+3
|\|
| * Add "WE" to dist RAM's abc_scc_breakEddie Hung2019-06-261-3/+3
| |
| * Add RAM32X1D box infoEddie Hung2019-06-251-2/+3
| |
| * Merge remote-tracking branch 'origin/master' into xaigEddie Hung2019-06-251-0/+17
| |\
* | \ Merge remote-tracking branch 'origin/eddie/fix1132' into xc7muxEddie Hung2019-06-261-1/+1
|\ \ \
| * | | Simulation model verilog fixMiodrag Milanovic2019-06-261-1/+1
| | |/ | |/|
* | | Cleanup abc_box_idEddie Hung2019-06-261-5/+5
| | |
* | | Add RAM32X1D box infoEddie Hung2019-06-241-2/+3
| | |
* | | Merge remote-tracking branch 'origin/xaig' into xc7muxEddie Hung2019-06-241-0/+2
|\ \ \ | | |/ | |/|
| * | Add Xilinx dist RAM as comb boxesEddie Hung2019-06-241-0/+2
| | |
* | | Merge remote-tracking branch 'origin/eddie/ram32x1d' into xc7muxEddie Hung2019-06-241-0/+17
|\ \ \ | | |/ | |/|
| * | Add RAM32X1D supportEddie Hung2019-06-241-0/+17
| | |
* | | Merge remote-tracking branch 'origin/xaig' into xc7muxEddie Hung2019-06-221-2/+0
|\ \ \ | | |/ | |/|
| * | Remove DFF and RAMD box info for nowEddie Hung2019-06-211-2/+0
| | |
* | | Add $__XILINX_MUXF78 to preserve entire boxEddie Hung2019-06-211-0/+8
|/ /
* | Remove WIP ABC9 flop supportEddie Hung2019-06-141-10/+10
| |
* | Disable dist RAM boxes due to comb loopEddie Hung2019-06-111-2/+2
| |
* | Remove #ifndef ABCEddie Hung2019-06-111-4/+0
| |
* | Remove abc_flop attributes for nowEddie Hung2019-06-061-56/+10
| |
* | Update abc attributes on FD*E_1Eddie Hung2019-06-051-6/+26
| |
* | TypoEddie Hung2019-06-031-1/+1
| |
* | Fix `ifndefEddie Hung2019-06-031-1/+1
| |
* | Add FD*E_1 -> FD*E techmap rulesEddie Hung2019-05-311-5/+31
| |
* | Remove whitebox attribute from DRAMs for nowEddie Hung2019-05-301-2/+2
| |
* | Carry in/out to be the last input/output for chains to be preservedEddie Hung2019-05-301-2/+2
| |
* | Re-enable lib_whiteboxEddie Hung2019-05-271-5/+5
| |
* | BlackboxesEddie Hung2019-05-261-5/+5
| |
* | Add whitebox support to DRAMEddie Hung2019-05-231-2/+8
| |
* | Instead of MUXCY/XORCY use CARRY4 (with timing)Eddie Hung2019-05-211-2/+1
| |
* | Cleanup, call pmux2shiftx even without -nosrlEddie Hung2019-04-221-12/+16
|/
* Merge remote-tracking branch 'origin' into xc7srlEddie Hung2019-04-201-0/+57
|\
| * Remove BUFGCTRL, BUFHCE and LUT6_2 from cells_xtra.Keith Rothman2019-04-121-11/+11
| | | | | | | | Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
| * Fix LUT6_2 definition.Keith Rothman2019-04-091-3/+3
| | | | | | | | Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>