aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/xilinx/Makefile.inc
Commit message (Collapse)AuthorAgeFilesLines
* Auto-generate .box/.lut files from specify blocksEddie Hung2020-02-271-3/+0
|
* xilinx: Add support for LUT RAM on LUT4-based devices.Marcin Kościelnicki2020-02-071-1/+2
| | | | | | | There are multiple other kinds of RAMs supported on these devices, but RAM16X1D is the only dual-port one. Fixes #1549
* xilinx: Add block RAM mapping for Virtex 2* and Spartan 3*.Marcin Kościelnicki2020-02-071-0/+3
|
* xilinx: Add support for Spartan 3A DSP block RAMs.Marcin Kościelnicki2020-02-071-0/+1
| | | | Part of #1550
* xilinx: Add xilinx_dffopt pass (#1557)Marcin Kościelnicki2019-12-181-0/+1
|
* synth_xilinx: Merge blackbox primitive libraries.Marcin Kościelnicki2019-11-061-7/+1
| | | | | | | | | | | | | | | | | | | | | | | | | First, there are no longer separate cell libraries for xc6s/xc7/xcu. Manually instantiating a primitive for a "wrong" family will result in yosys passing it straight through to the output, and it will be either upgraded or rejected by the P&R tool. Second, the blackbox library is expanded to cover many more families: everything from Spartan 3 up is included. Primitives for Virtex and Virtex 2 are listed in the Python file as well if we ever want to include them, but that would require having two different ISE versions (10.1 and 14.7) available when running cells_xtra.py, and so is probably more trouble than it's worth. Third, the blockram blackboxes are no longer in separate files — there is no practical reason to do so (from synthesis PoV, they are no different from any other cells_xtra blackbox), and they needlessly complicated the flow (among other things, merging them allows the user to use eg. Series 7 primitives and have them auto-upgraded to Ultrascale). Last, since xc5v logic synthesis appears to work reasonably well (the only major problem is lack of blockram inference support), xc5v is now an accepted setting for the -family option.
* xilinx: Add URAM288 mapping for xcupDavid Shah2019-10-231-0/+2
| | | | Signed-off-by: David Shah <dave@ds0.me>
* xilinx: Add support for UltraScale[+] BRAM mappingDavid Shah2019-10-231-1/+3
| | | | Signed-off-by: David Shah <dave@ds0.me>
* xilinx: Support multiplier mapping for all families.Marcin Kościelnicki2019-10-221-1/+7
| | | | | This supports several older families that are not yet supported for actual logic synthesis — the intention is to add them soon.
* Makefile: don't assume python is called `python3`Sean Cross2019-10-191-1/+1
| | | | | | | | | | | | | | | | On some architectures, notably on Windows, the official name for the Python binary from python.org is `python`. The build system assumes that python is called `python3`, which breaks under this architecture. There is already infrastructure in place to determine the name of the Python binary when building PYOSYS. Since Python is now always required to build Yosys, enable this check universally which sets the `PYTHON_EXECUTABLE` variable. Then, reuse this variable in other Makefiles as necessary, rather than hardcoding `python3` everywhere. Signed-off-by: Sean Cross <sean@xobs.io>
* Rename abc_* names/attributes to more precisely be abc9_*Eddie Hung2019-10-041-6/+6
|
* Revert "Add a xilinx_finalise pass"Eddie Hung2019-09-231-1/+0
| | | | This reverts commit 23d90e0439ffef510632ce45a3d2aff1c129f405.
* Add a xilinx_finalise passEddie Hung2019-09-231-0/+1
|
* Merge remote-tracking branch 'origin/master' into xc7dspEddie Hung2019-09-181-1/+4
|\
| * xilinx: Make blackbox library family-dependent.Marcin Kościelnicki2019-09-151-1/+4
| | | | | | | | Fixes #1246.
* | Merge remote-tracking branch 'origin/master' into xc7dspEddie Hung2019-09-111-1/+2
|\|
| * synth_xilinx: Support init values on Spartan 6 flip-flops properly.Marcin Kościelnicki2019-09-071-1/+2
| |
* | Merge remote-tracking branch 'origin/master' into xc7dspEddie Hung2019-09-051-0/+3
|\|
| * Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-08-201-2/+2
| |\
| * | Use abc_{map,unmap,model}.vEddie Hung2019-08-201-1/+3
| | |
| * | Merge branch 'eddie/abc9_refactor' into xaig_dffEddie Hung2019-08-161-3/+12
| |\ \
| * \ \ Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-07-101-0/+1
| |\ \ \
| * \ \ \ Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-07-011-0/+2
| |\ \ \ \
| * | | | | Wrap FDRE with $__ABC_FDRE containing combEddie Hung2019-06-151-0/+1
| | | | | |
* | | | | | Merge remote-tracking branch 'origin/master' into xc7dspEddie Hung2019-08-201-2/+2
|\ \ \ \ \ \ | | |_|_|_|/ | |/| | | |
| * | | | | Update Makefile tooEddie Hung2019-07-181-2/+2
| | |_|_|/ | |/| | |
* / | | | Oops forgot these filesEddie Hung2019-07-151-0/+1
|/ / / /
* | | / synth_xilinx: Initial Spartan 6 block RAM inference support.Marcin Kościelnicki2019-07-111-3/+12
| |_|/ |/| |
* | | Merge remote-tracking branch 'origin/master' into xc7muxEddie Hung2019-06-291-0/+2
|\ \ \ | | |/ | |/|
| * | install *_nowide.lut filesEddie Hung2019-06-291-0/+2
| |/
* / Revert "Remove wide mux inference"Eddie Hung2019-06-141-0/+1
|/ | | | This reverts commit 738fdfe8f55e18ac7f315cd68c117eae370004ca.
* Rename +/xilinx/abc.{box,lut} -> abc_xc7.{box,lut}Eddie Hung2019-06-141-2/+2
|
* Remove wide mux inferenceEddie Hung2019-06-121-1/+0
|
* Add mux_map.v for wide muxEddie Hung2019-06-041-0/+1
|
* Cleanup, call pmux2shiftx even without -nosrlEddie Hung2019-04-221-3/+2
|
* Add +/xilinx/cells_box.v containing models for ABC boxesEddie Hung2019-04-161-0/+1
|
* Add cells.lut to techlibs/xilinx/Eddie Hung2019-04-091-0/+1
|
* Add techlibs/xilinx/cells.boxEddie Hung2019-04-091-0/+1
|
* Changes required for VPR place and route synth_xilinx.Keith Rothman2019-03-011-1/+2
| | | | Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
* Add Xilinx RAM64X1D and RAM128X1D simulation modelsClifford Wolf2018-03-071-1/+0
|
* Add techlibs/xilinx/lut2lut.vClifford Wolf2017-07-101-0/+1
|
* Added black box modules for all the 7-series design elements (as listed in ↵Clifford Wolf2016-03-191-0/+1
| | | | ug953)
* Switched to Python 3Clifford Wolf2015-08-221-1/+1
|
* Another bugfix for ice40 and xilinx brams_init make rulesClifford Wolf2015-08-161-1/+1
|
* Fixed Makefile rules for generated share filesClifford Wolf2015-08-161-1/+7
|
* Adjust makefiles to work with out-of-tree buildsClifford Wolf2015-08-121-6/+2
| | | | This is based on work done by Larry Doolittle
* Verific build fixesClifford Wolf2015-05-171-2/+2
|
* Towards DRAM support in Xilinx flowClifford Wolf2015-04-091-0/+3
|
* Added support for initialized xilinx bramsClifford Wolf2015-04-061-0/+21
|
* Added Xilinx bram black-box modulesClifford Wolf2015-04-061-0/+1
|