aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/greenpak4
Commit message (Expand)AuthorAgeFilesLines
* Fixed more issues with GreenPAK counter sim modelsAndrew Zonenberg2017-08-151-19/+23
* Updated PGEN model to have level triggered reset (matches actual hardware beh...Andrew Zonenberg2017-08-151-4/+4
* Fixed bug in GP_COUNTx modelAndrew Zonenberg2017-08-151-7/+12
* Fixed bug where GP_COUNTx_ADV would wrap even when KEEP was highAndrew Zonenberg2017-08-151-47/+47
* Fixed typo in GP_COUNT8 sim modelAndrew Zonenberg2017-08-141-1/+1
* Fixed typo in error messageAndrew Zonenberg2017-08-141-1/+1
* Changed LEVEL resets for GP_COUNTx to be properly synthesizeableAndrew Zonenberg2017-08-141-48/+60
* Changed LEVEL resets to be edge triggered anywayAndrew Zonenberg2017-08-141-4/+4
* Added level-triggered reset support to GP_COUNTx simulation modelsAndrew Zonenberg2017-08-141-2/+68
* Fixed undeclared "count" in GP_COUNT8_ADVAndrew Zonenberg2017-08-141-0/+2
* Fixed undeclared "count" in GP_COUNT14_ADVAndrew Zonenberg2017-08-141-0/+2
* Fixed typo in last commitAndrew Zonenberg2017-08-141-3/+3
* Finished initial GP_COUNT8/14/8_ADV/14_ADV sim models. Don't support clock di...Andrew Zonenberg2017-08-142-37/+293
* Fixed typo in COUNT8 modelAndrew Zonenberg2017-08-141-2/+2
* Moved GP_POR out of digital cells b/c it has delaysAndrew Zonenberg2017-08-142-21/+21
* Improved cells_sim_digital model for GP_COUNT8Andrew Zonenberg2017-08-142-40/+75
* Refactored GreenPAK4 cells_sim into cells_sim_ams and cells_sim_digitalAndrew Zonenberg2017-08-144-428/+451
* greenpak4_counters: Changed generation of primitive names so that the absorbe...Andrew Zonenberg2017-06-241-3/+21
* greenpak4_counters: Added support for parallel output from GP_COUNTx cellsAndrew Zonenberg2017-05-221-17/+70
* Squelch trailing whitespaceLarry Doolittle2017-04-121-39/+39
* Merge https://github.com/cliffordwolf/yosysAndrew Zonenberg2017-02-141-2/+0
|\
| * Fix double-call of log_pop() in synth_greenpak4Clifford Wolf2017-02-141-2/+0
* | greenpak4: Added POUT to GP_COUNTx cellsAndrew Zonenberg2017-01-011-3/+4
|/
* greenpak4: Added INT pin to GP_SPIAndrew Zonenberg2016-12-211-1/+3
* greenpak4: removed unused MISO pin from GP_SPIAndrew Zonenberg2016-12-211-1/+0
* greenpak4: Removed SPI_BUFFER parameterAndrew Zonenberg2016-12-201-1/+0
* greenpak4: replaced MOSI/MISO with single one-way SDAT pinAndrew Zonenberg2016-12-201-2/+1
* greenpak4: Changed port names on GP_SPI for clarityAndrew Zonenberg2016-12-201-4/+4
* greenpak4: Initial implementation of GP_SPI cellAndrew Zonenberg2016-12-201-0/+27
* greenpak4: Updated GP_DCMP cell modelAndrew Zonenberg2016-12-171-2/+20
* greenpak: Fixes to GP_DCMP* blocks. Added GP_CLKBUF.Andrew Zonenberg2016-12-161-5/+10
* greenpak4: Initial version of GP_DCMP skeleton (not yet usable). Changed inte...Andrew Zonenberg2016-12-151-5/+24
* greenpak4: More fixups of GP_DCMPx cellsAndrew Zonenberg2016-12-151-9/+3
* greenpak4: And another typo :(Andrew Zonenberg2016-12-151-1/+1
* greenpak4: Fixed another typoAndrew Zonenberg2016-12-151-1/+1
* greenpak4: Fixed typoAndrew Zonenberg2016-12-151-1/+1
* greenpak4: Cleaned up trailing spaces in cells_simAndrew Zonenberg2016-12-141-60/+60
* greenpak4: Added GP_DCMPREF / GP_DCMPMUXAndrew Zonenberg2016-12-141-0/+23
* Added GP_PWRDET block, BANDWIDTH_KHZ parameter to GP_ABUFAndrew Zonenberg2016-12-111-1/+9
* greenpak4: Added support for inferred input/output inverters on latchesAndrew Zonenberg2016-12-101-4/+17
* greenpak4: Can now techmap inferred D latches (without set/reset or output in...Andrew Zonenberg2016-12-103-0/+17
* greenpak4: Inverted D latch cells now have nQ instead of Q as output port nam...Andrew Zonenberg2016-12-101-15/+15
* Added GP_DLATCH and GP_DLATCHIAndrew Zonenberg2016-12-051-0/+18
* Initial implementation of techlib support for GreenPAK latches. Instantiation...Andrew Zonenberg2016-12-052-0/+120
* Updated help text for synth_greenpak4Andrew Zonenberg2016-12-051-0/+2
* Fixed typo in last commitAndrew Zonenberg2016-10-181-1/+1
* greenpak4: Added GP_PGEN cell definitionAndrew Zonenberg2016-10-181-0/+21
* Added GLITCH_FILTER parameter to GP_DELAYAndrew Zonenberg2016-10-181-3/+2
* greenpak4: added model for GP_EDGEDET blockAndrew Zonenberg2016-10-181-0/+10
* greenpak4: Changed parameters for GP_SYSRESETAndrew Zonenberg2016-10-161-1/+2