aboutsummaryrefslogtreecommitdiffstats
path: root/kernel/rtlil.cc
Commit message (Expand)AuthorAgeFilesLines
* bugpoint: add -wires option.whitequark2020-12-071-1/+1
* Replace "ILANG" with "RTLIL" everywhere.whitequark2020-08-261-2/+2
* Ensure \A_SIGNED is never used with $shiftxXiretza2020-08-181-1/+5
* Add add* functions for the new FF typesMarcelina Kościelnicka2020-06-231-0/+193
* Add new builtin FF typesMarcelina Kościelnicka2020-06-231-47/+224
* RTLIL: add Module::addProcess, use it in Module::cloneInto. NFC.whitequark2020-06-091-2/+10
* flatten: preserve original object names via hdlname attribute.whitequark2020-06-081-0/+16
* RTLIL: use {get,set}_string_attribute in {get,set}_strpool_attribute.whitequark2020-06-081-2/+2
* Merge pull request #2105 from whitequark/split-flatten-off-techmapclairexen2020-06-081-0/+12
|\
| * RTLIL: factor out RTLIL::Module::addMemory. NFC.whitequark2020-06-041-0/+12
* | Merge pull request #2006 from jersey99/signed-in-rtlil-wirewhitequark2020-06-041-0/+2
|\ \ | |/ |/|
| * Preserve 'signed'-ness of a verilog wire through RTLILVamsi K Vytla2020-04-271-0/+2
* | Add flooring division operatorXiretza2020-05-281-1/+2
* | Add flooring modulo operatorXiretza2020-05-281-1/+2
|/
* kernel: Cell::getParam() to throw exception again if not foundEddie Hung2020-04-221-3/+2
* Use default parameter value in getParamMarcelina Kościelnicka2020-04-211-1/+10
* ilang, ast: Store parameter order and default value information.Marcelina Kościelnicka2020-04-211-1/+2
* rtlil: add AttrObject::has_attribute.whitequark2020-04-161-0/+5
* rtlil: add AttrObject::{get,set}_string_attribute.whitequark2020-04-161-17/+17
* Merge pull request #1927 from YosysHQ/eddie/design_remove_assertEddie Hung2020-04-161-0/+1
|\
| * kernel: Design::remove(RTLIL::Module *) to check refcount_modules_Eddie Hung2020-04-141-0/+1
* | kernel: Module::makeblackbox() to clear connections tooEddie Hung2020-04-131-0/+2
|/
* Merge pull request #1858 from YosysHQ/eddie/fix1856Eddie Hung2020-04-091-1/+1
|\
| * kernel: include "kernel/constids.inc" instead of "constids.inc"Eddie Hung2020-04-091-1/+1
* | [NFCI] Deduplicate builtin FF cell types listMarcelina Kościelnicka2020-04-091-0/+47
|/
* kernel: big fat patch to use more ID::*, otherwise ID(*)Eddie Hung2020-04-021-410/+410
* kernel: Use constids.inc for global/constant IdStringsEddie Hung2020-04-021-6/+4
* Merge pull request #1845 from YosysHQ/eddie/kernel_speedupEddie Hung2020-04-021-288/+244
|\
| * kernel: pass-by-value into Design::scratchpad_set_string() tooEddie Hung2020-03-271-2/+2
| * kernel: Cell::set{Port,Param}() to pass by value, but use std::moveEddie Hung2020-03-261-5/+5
| * kernel: SigSpec copies to not trigger pack()Eddie Hung2020-03-181-33/+4
| * kernel: more pass by const ref, more speedupsEddie Hung2020-03-181-180/+174
| * kernel: speedupEddie Hung2020-03-181-30/+23
| * kernel: fix DeleteWireWorkerEddie Hung2020-03-171-9/+4
| * kernel: SigSpec use more const& + overloads to prevent implicit SigSpecEddie Hung2020-03-131-31/+39
| * kernel: optimise Module::remove(const pool<RTLIL::Wire*>()Eddie Hung2020-03-121-10/+5
* | Add support for SystemVerilog-style `define to Verilog frontendRupert Swarbrick2020-03-271-0/+2
|/
* Closes #1717. Add more precise Verilog source location information to AST and...Alberto Gonzalez2020-02-231-2/+0
* specify: system timing checks to accept min:typ:max tripleEddie Hung2020-02-131-2/+6
* Add RTLIL::constpad, init by yosys_setup(); use for abc9Eddie Hung2020-01-081-0/+1
* Always create $shl, $shr, $sshl, $sshr cells with unsigned B inputsClifford Wolf2020-01-021-4/+25
* kernel: require \B_SIGNED=0 on $shl, $sshl, $shr, $sshr.whitequark2019-12-041-3/+21
* Fix for SigSpec() == SigSpec(State::Sx, 0) to be true againEddie Hung2019-10-041-0/+6
* Fix typoEddie Hung2019-09-301-1/+1
* Avoid work in replace() if rules empty.Henner Zeller2019-09-291-0/+2
* Use more ID::{A,B,Y,blackbox,whitebox}Eddie Hung2019-08-151-96/+96
* Add YOSYS_NO_IDS_REFCNT configuration macroClifford Wolf2019-08-111-1/+3
* Use ID() in kernel/*, add simple ID:: hack (to be improved upon later)Clifford Wolf2019-08-111-578/+585
* More improvements and cleanups in IdString subsystemClifford Wolf2019-08-111-0/+2
* RTLIL::S{0,1} -> State::S{0,1}Eddie Hung2019-08-071-6/+6