aboutsummaryrefslogtreecommitdiffstats
path: root/tests
Commit message (Expand)AuthorAgeFilesLines
* Merge pull request #3646 from YosysHQ/lofty/fix-3591Miodrag Milanović2023-02-271-0/+40
|\
| * muxcover: do not add decode muxes with x inputsLofty2023-01-261-0/+40
* | Merge pull request #3674 from YosysHQ/fix_wide_caseN. Engelhardt2023-02-276-0/+78
|\ \
| * | Added ranged case checkMiodrag Milanovic2023-02-272-0/+27
| * | Add test exampleMiodrag Milanovic2023-02-274-0/+51
* | | fabulous: Add support for mapping carry chainsgatecat2023-02-271-0/+9
|/ /
* | Genericising bug1836.ysKrystalDelusion2023-02-211-20/+12
* | bug3205.ys removedKrystalDelusion2023-02-211-57/+0
* | Removing extra `default_nettype` linesKrystalDelusion2023-02-211-2/+0
* | Fix for sync_ram_sdp not being final moduleKrystalDelusion2023-02-211-1/+1
* | More tests in memlib/generate.pyKrystalDelusion2023-02-2113-12/+1180
* | Tests for ram_style = "huge"KrystalDelusion2023-02-214-0/+219
* | Testing TDP synth mappingKrystalDelusion2023-02-213-0/+49
* | Asymmetric port ram tests with XilinxKrystalDelusion2023-02-213-0/+193
* | Addings tests for #1836 and #3205KrystalDelusion2023-02-213-0/+120
* | Merge pull request #3675 from daglem/struct-item-queriesJannis Harder2023-02-151-0/+112
|\ \
| * | Corrected tests for data and array queries on struct/union item expressionsDag Lem2023-02-151-80/+85
| * | Support for data and array queries on struct/union item expressionsDag Lem2023-02-151-0/+107
* | | Merge pull request #3671 from zachjs/masterJannis Harder2023-02-152-0/+16
|\ \ \ | |/ / |/| |
| * | Add test for typenames using constants shadowed later onZachary Snow2023-02-122-0/+16
* | | Merge pull request #3661 from daglem/struct-array-range-offsetJannis Harder2023-02-151-0/+20
|\ \ \
| * | | Handle range offsets in packed arrays within packed structsDag Lem2023-02-051-0/+20
* | | | Merge pull request #2995 from georgerennie/cover_precondJannis Harder2023-02-141-0/+25
|\ \ \ \
| * | | | chformal: Rename -coverprecond to -coverenableGeorge Rennie2022-06-181-3/+3
| * | | | chformal: Test -coverprecond and reuse the src attributeJannis Harder2022-06-181-0/+25
* | | | | Merge pull request #3126 from georgerennie/equiv_make_assertionsJannis Harder2023-02-141-0/+32
|\ \ \ \ \
| * | | | | equiv_make: Add -make_assert optionGeorge Rennie2022-06-241-0/+32
| |/ / / /
* | | | | Merge pull request #3669 from jix/fix-xprop-tests-yosys-callJannis Harder2023-02-132-51/+60
|\ \ \ \ \
| * | | | | xprop tests: Make iverilog invocation more portableJannis Harder2023-02-131-3/+3
| * | | | | xprop: Test fixes and abort on test failureJannis Harder2023-02-132-3/+3
| * | | | | xprop: Smaller subset of tests to run by defaultJannis Harder2023-02-131-44/+53
| * | | | | tests: Fix path of yosys invocation in xprop testsJannis Harder2023-02-101-1/+1
| | |_|/ / | |/| | |
* / | | | Resolve package types in interfaces (#3658)Dag Lem2023-02-123-0/+31
|/ / / /
* | | | tests: in xprop tests, use MAKE variable if setJannis Harder2023-02-101-1/+1
* | | | Merge pull request #3650 from jix/rtlil_roundtrip_z_bitsJannis Harder2023-01-301-0/+9
|\ \ \ \
| * | | | backends/rtlil: Do not shorten a value with z bits to 'xJannis Harder2023-01-291-0/+9
| | |_|/ | |/| |
* | | | add pmux option to bmuxmap for better fsm detection with verific frontendN. Engelhardt2023-01-301-0/+45
| |_|/ |/| |
* | | Resolve struct member package typesDag Lem2023-01-291-0/+4
* | | Handle struct members of union type (#3641)Dag Lem2023-01-291-0/+16
|/ /
* | Merge pull request #3537 from jix/xpropJannis Harder2023-01-116-5/+805
|\ \
| * | New xprop pass to encode 3-valued x-propagation using 2-valued logicJannis Harder2022-11-304-0/+801
| * | simplemap: Map `$xnor` to `$_XNOR_` cellsJannis Harder2022-11-292-5/+4
* | | Merge pull request #3467 from jix/fix_cellarray_simplifyJannis Harder2022-12-191-0/+45
|\ \ \
| * | | simplify: regression test for AST_CELLARRAY simplification issueJannis Harder2022-12-071-0/+45
* | | | Support for packed multidimensional arrays within packed structsDag Lem2022-12-031-0/+60
|/ / /
* | | Merge pull request #3551 from daglem/struct-array-swapped-rangeJannis Harder2022-12-011-0/+131
|\ \ \ | |/ / |/| |
| * | Tests for unpacked arrays in packed structs are for the Yosys frontend onlyDag Lem2022-11-231-0/+4
| * | Support for swapped ranges in second array dimensionDag Lem2022-11-231-0/+42
| * | Support for arrays with swapped ranges within structsDag Lem2022-11-121-1/+86
* | | Merge branch 'zachjs-master'Jannis Harder2022-11-211-0/+45
|\ \ \