aboutsummaryrefslogtreecommitdiffstats
path: root/tests
diff options
context:
space:
mode:
authorDag Lem <dag@nimrod.no>2023-02-15 12:36:29 +0100
committerDag Lem <dag@nimrod.no>2023-02-15 12:36:29 +0100
commitf8219289b2d0e567c8273141ba27a37d831abe92 (patch)
tree488fa6af5a393b11760197b333898f298ed576ac /tests
parentc1e12877f0de5a96356f22c28275d7a7546f771e (diff)
downloadyosys-f8219289b2d0e567c8273141ba27a37d831abe92.tar.gz
yosys-f8219289b2d0e567c8273141ba27a37d831abe92.tar.bz2
yosys-f8219289b2d0e567c8273141ba27a37d831abe92.zip
Corrected tests for data and array queries on struct/union item expressions
Diffstat (limited to 'tests')
-rw-r--r--tests/svtypes/struct_sizebits.sv165
1 files changed, 85 insertions, 80 deletions
diff --git a/tests/svtypes/struct_sizebits.sv b/tests/svtypes/struct_sizebits.sv
index ec35eb08f..092d9ecef 100644
--- a/tests/svtypes/struct_sizebits.sv
+++ b/tests/svtypes/struct_sizebits.sv
@@ -21,87 +21,92 @@ struct packed {
//wire [$size(s.sy.y)-1:0]y_size;
//wire [$size(s.sz.z)-1:0]z_size;
-assert property ($size(s) == $size(s.t) + $size(s.x) + $size(s.sy) + $size(s.sz));
-assert property ($size(s) == 1 + 4 + 6*4 + 6*8*4);
-
-assert property ($size(t) == 1);
-assert property ($size(s.x) == 4);
-assert property ($size({3{s.x}}) == 3*4);
-assert property ($size(s.sy.y) == 6);
-assert property ($size(s.sy.y, 1) == 6);
-assert property ($size(s.sy.y, (1+1)) == 4);
-assert property ($size(s.sy.y[2], 1) == 4);
-// This is unsupported at the moment
-//assert property ($size(s.sy.y[2][1], 1) == 1);
-
-assert property ($size(s.sz.z) == 6);
-assert property ($size(s.sz.z, 1) == 6);
-assert property ($size(s.sz.z, 2) == 8);
-assert property ($size(s.sz.z, 3) == 4);
-assert property ($size(s.sz.z[3], 1) == 8);
-assert property ($size(s.sz.z[3][3], 1) == 4);
-// This is unsupported at the moment
-//assert property ($size(s.sz.z[3][3][3], 1) == 1);
-// This should trigger an error if enabled (it does).
-//assert property ($size(s.sz.z, 4) == 4);
-
//wire [$bits(s.x)-1:0]x_bits;
//wire [$bits({s.x, s.x})-1:0]xx_bits;
-assert property ($bits(t) == 1);
-assert property ($bits(s.x) == 4);
-assert property ($bits(s.sy.y) == 4*6);
-assert property ($bits(s.sz.z) == 4*6*8);
-
-assert property ($high(s.x) == 5);
-assert property ($high(s.sy.y) == 7);
-assert property ($high(s.sy.y, 1) == 7);
-assert property ($high(s.sy.y, (1+1)) == 3);
-
-assert property ($high(s.sz.z) == 7);
-assert property ($high(s.sz.z, 1) == 7);
-assert property ($high(s.sz.z, 2) == 9);
-assert property ($high(s.sz.z, 3) == 4);
-assert property ($high(s.sz.z[3]) == 9);
-assert property ($high(s.sz.z[3][3]) == 4);
-assert property ($high(s.sz.z[3], 2) == 4);
-
-assert property ($low(s.x) == 2);
-assert property ($low(s.sy.y) == 2);
-assert property ($low(s.sy.y, 1) == 2);
-assert property ($low(s.sy.y, (1+1)) == 0);
-
-assert property ($low(s.sz.z) == 2);
-assert property ($low(s.sz.z, 1) == 2);
-assert property ($low(s.sz.z, 2) == 2);
-assert property ($low(s.sz.z, 3) == 1);
-assert property ($low(s.sz.z[3]) == 2);
-assert property ($low(s.sz.z[3][3]) == 1);
-assert property ($low(s.sz.z[3], 2) == 1);
-
-assert property ($left(s.x) == 5);
-assert property ($left(s.sy.y) == 2);
-assert property ($left(s.sy.y, 1) == 2);
-assert property ($left(s.sy.y, (1+1)) == 3);
-
-assert property ($left(s.sz.z) == 7);
-assert property ($left(s.sz.z, 1) == 7);
-assert property ($left(s.sz.z, 2) == 2);
-assert property ($left(s.sz.z, 3) == 1);
-assert property ($left(s.sz.z[3]) == 2);
-assert property ($left(s.sz.z[3][3]) == 1);
-assert property ($left(s.sz.z[3], 2) == 1);
-
-assert property ($right(s.x) == 2);
-assert property ($right(s.sy.y) == 7);
-assert property ($right(s.sy.y, 1) == 7);
-assert property ($right(s.sy.y, (1+1)) == 0);
-
-assert property ($right(s.sz.z) == 2);
-assert property ($right(s.sz.z, 1) == 2);
-assert property ($right(s.sz.z, 2) == 9);
-assert property ($right(s.sz.z, 3) == 4);
-assert property ($right(s.sz.z[3]) == 9);
-assert property ($right(s.sz.z[3][3]) == 4);
-assert property ($right(s.sz.z[3], 2) == 4);
+always_comb begin
+ assert ($size(s) == $size(s.t) + $size(s.x) + $size(s.sy) + $size(s.sz));
+ assert ($size(s) == 1 + 4 + 6*4 + 6*8*4);
+
+ assert ($size(s.t) == 1);
+ assert ($size(s.x) == 4);
+`ifndef VERIFIC
+ assert ($size({3{s.x}}) == 3*4);
+`endif
+ assert ($size(s.sy.y) == 6);
+ assert ($size(s.sy.y, 1) == 6);
+ assert ($size(s.sy.y, (1+1)) == 4);
+ assert ($size(s.sy.y[2], 1) == 4);
+ // This is unsupported at the moment
+ // assert ($size(s.sy.y[2][1], 1) == 1);
+
+ assert ($size(s.sz.z) == 6);
+ assert ($size(s.sz.z, 1) == 6);
+ assert ($size(s.sz.z, 2) == 8);
+ assert ($size(s.sz.z, 3) == 4);
+ assert ($size(s.sz.z[3], 1) == 8);
+ assert ($size(s.sz.z[3][3], 1) == 4);
+ // This is unsupported at the moment
+ // assert ($size(s.sz.z[3][3][3], 1) == 1);
+ // This should trigger an error if enabled (it does).
+ // assert ($size(s.sz.z, 4) == 4);
+
+ assert ($bits(s.t) == 1);
+ assert ($bits(s.x) == 4);
+ assert ($bits(s.sy.y) == 4*6);
+ assert ($bits(s.sz.z) == 4*6*8);
+
+ assert ($high(s.x) == 5);
+ assert ($high(s.sy.y) == 7);
+ assert ($high(s.sy.y, 1) == 7);
+ assert ($high(s.sy.y, (1+1)) == 3);
+
+ assert ($high(s.sz.z) == 7);
+ assert ($high(s.sz.z, 1) == 7);
+ assert ($high(s.sz.z, 2) == 9);
+ assert ($high(s.sz.z, 3) == 4);
+ assert ($high(s.sz.z[3]) == 9);
+ assert ($high(s.sz.z[3][3]) == 4);
+ assert ($high(s.sz.z[3], 2) == 4);
+
+ assert ($low(s.x) == 2);
+ assert ($low(s.sy.y) == 2);
+ assert ($low(s.sy.y, 1) == 2);
+ assert ($low(s.sy.y, (1+1)) == 0);
+
+ assert ($low(s.sz.z) == 2);
+ assert ($low(s.sz.z, 1) == 2);
+ assert ($low(s.sz.z, 2) == 2);
+ assert ($low(s.sz.z, 3) == 1);
+ assert ($low(s.sz.z[3]) == 2);
+ assert ($low(s.sz.z[3][3]) == 1);
+ assert ($low(s.sz.z[3], 2) == 1);
+
+ assert ($left(s.x) == 5);
+ assert ($left(s.sy.y) == 2);
+ assert ($left(s.sy.y, 1) == 2);
+ assert ($left(s.sy.y, (1+1)) == 3);
+
+ assert ($left(s.sz.z) == 7);
+ assert ($left(s.sz.z, 1) == 7);
+ assert ($left(s.sz.z, 2) == 2);
+ assert ($left(s.sz.z, 3) == 1);
+ assert ($left(s.sz.z[3]) == 2);
+ assert ($left(s.sz.z[3][3]) == 1);
+ assert ($left(s.sz.z[3], 2) == 1);
+
+ assert ($right(s.x) == 2);
+ assert ($right(s.sy.y) == 7);
+ assert ($right(s.sy.y, 1) == 7);
+ assert ($right(s.sy.y, (1+1)) == 0);
+
+ assert ($right(s.sz.z) == 2);
+ assert ($right(s.sz.z, 1) == 2);
+ assert ($right(s.sz.z, 2) == 9);
+ assert ($right(s.sz.z, 3) == 4);
+ assert ($right(s.sz.z[3]) == 9);
+ assert ($right(s.sz.z[3][3]) == 4);
+ assert ($right(s.sz.z[3], 2) == 4);
+end
+
endmodule