aboutsummaryrefslogtreecommitdiffstats
path: root/tests
diff options
context:
space:
mode:
authorDag Lem <dag@nimrod.no>2023-01-22 09:32:24 +0100
committerZachary Snow <zachary.j.snow@gmail.com>2023-01-29 13:51:44 -0500
commit26db5a11d3ab3657adb77f3a7d0cabbd19529033 (patch)
treef384728056fb62dc62752da3e5c472e801a963d3 /tests
parentdb13c6df2bd0c0e471d380a3a72a3af83f6341fc (diff)
downloadyosys-26db5a11d3ab3657adb77f3a7d0cabbd19529033.tar.gz
yosys-26db5a11d3ab3657adb77f3a7d0cabbd19529033.tar.bz2
yosys-26db5a11d3ab3657adb77f3a7d0cabbd19529033.zip
Resolve struct member package types
Diffstat (limited to 'tests')
-rw-r--r--tests/svtypes/typedef_struct.sv4
1 files changed, 4 insertions, 0 deletions
diff --git a/tests/svtypes/typedef_struct.sv b/tests/svtypes/typedef_struct.sv
index 7ae007952..8df8e32b0 100644
--- a/tests/svtypes/typedef_struct.sv
+++ b/tests/svtypes/typedef_struct.sv
@@ -16,6 +16,7 @@ module top;
bit a;
logic[7:0] b;
t_t t;
+ p::p_t ps;
} s_t;
s_t s;
@@ -29,6 +30,7 @@ module top;
assign s1 = s;
assign ps.a = 8'hAA;
assign ps.b = 8'h55;
+ assign s.ps = ps;
always_comb begin
assert(s.a == 1'b1);
@@ -37,6 +39,8 @@ module top;
assert(s1.t == 8'h55);
assert(ps.a == 8'hAA);
assert(ps.b == 8'h55);
+ assert(s.ps.a == 8'hAA);
+ assert(s.ps.b == 8'h55);
end
endmodule