aboutsummaryrefslogtreecommitdiffstats
path: root/tests
Commit message (Expand)AuthorAgeFilesLines
* Fix _TECHMAP_REMOVEINIT_ handling.Marcin Kościelnicki2019-09-271-2/+12
* Change order of parameters, to work on other osMiodrag Milanovic2019-09-271-1/+1
* Merge pull request #1401 from SergeyDegtyar/SergeyDegtyar/ice40Eddie Hung2019-09-252-19/+14
|\
| * Change sync controls to async.SergeyDegtyar2019-09-252-8/+8
| * adffs test update (equiv_opt -multiclock).SergeyDegtyar2019-09-242-18/+13
* | Hell let's add the original #1381 testcase tooEddie Hung2019-09-201-3/+22
* | Add testcaseEddie Hung2019-09-201-0/+43
* | Added extractinv passMarcin Kościelnicki2019-09-191-0/+41
* | Merge pull request #1355 from YosysHQ/eddie/peepopt_dffmuxextEddie Hung2019-09-182-13/+175
|\ \
| * | OopsEddie Hung2019-09-131-1/+1
| * | Add counter-example from @cliffordwolfEddie Hung2019-09-131-0/+24
| * | Revert "Make one check $shift(x)? only; change testcase to be 8b"Eddie Hung2019-09-131-2/+2
| * | Cope with presence of reset muxes tooEddie Hung2019-09-111-0/+39
| * | Add more testsEddie Hung2019-09-111-0/+32
| * | proc instead of prepEddie Hung2019-09-111-2/+2
| * | Add unsigned caseEddie Hung2019-09-111-0/+17
| * | Missing equiv_opt -assertEddie Hung2019-09-061-1/+1
| * | Make one check $shift(x)? only; change testcase to be 8bEddie Hung2019-09-061-2/+2
| * | Usee equiv_opt -assertEddie Hung2019-09-061-3/+3
| * | simple/peepopt.v tests to various/peepopt.ys with equiv_opt & selectEddie Hung2019-09-052-21/+63
| * | Revert "abc9 followed by clean otherwise netlist could be invalid for sim"Eddie Hung2019-09-051-1/+0
| * | abc9 followed by clean otherwise netlist could be invalid for simEddie Hung2019-09-041-0/+1
| * | Add peepopt_dffmuxext testsEddie Hung2019-09-041-0/+8
* | | Merge pull request #1370 from YosysHQ/dave/equiv_opt_multiclockDavid Shah2019-09-121-0/+12
|\ \ \
| * | | Add equiv_opt -multiclockDavid Shah2019-09-111-0/+12
* | | | Add -match-init option to dff2dffs.Marcin Kościelnicki2019-09-111-0/+50
|/ / /
* | | tests: ice40: fix div_mod SB_LUT4 countSean Cross2019-09-101-1/+1
* | | techmap: Add support for extracting init values of portsMarcin Kościelnicki2019-09-071-0/+98
* | | Merge branch 'master' of github.com:YosysHQ/yosysEddie Hung2019-09-061-1/+3
|\ \ \
| * | | Merge remote-tracking branch 'origin/master' into xaig_arrivalEddie Hung2019-09-043-2/+29
| |\| |
| * | | Merge remote-tracking branch 'origin/master' into xaig_arrivalEddie Hung2019-09-022-7/+10
| |\ \ \ | | | |/ | | |/|
| * | | Make abc9 test a bit more interestingEddie Hung2019-08-301-1/+3
* | | | Add missing -assert to equiv_optEddie Hung2019-09-061-7/+7
| |_|/ |/| |
* | | Expand test with `hierarchy' without -auto-topEddie Hung2019-09-031-0/+7
* | | Add `read -noverific` before readEddie Hung2019-09-031-0/+1
* | | Merge remote-tracking branch 'origin/master' into eddie/deferred_topEddie Hung2019-09-0345-36/+1009
|\ \ \
| * | | Use `command -v` rather than `which`Emily2019-09-032-2/+2
| | |/ | |/|
| * | Merge pull request #1344 from YosysHQ/eddie/ice40_signed_maccEddie Hung2019-09-012-7/+10
| |\ \ | | |/ | |/|
| | * Improve tests/ice40/macc.ys for SB_MAC16Eddie Hung2019-08-302-7/+10
| * | Merge pull request #1340 from YosysHQ/eddie/abc_no_cleanEddie Hung2019-08-301-1/+7
| |\ \ | | |/ | |/|
| | * Nicer formattingEddie Hung2019-08-291-1/+7
| * | Merge pull request #1310 from SergeyDegtyar/masterEddie Hung2019-08-3036-1/+765
| |\ \
| | * | macc test fixSergeyDegtyar2019-08-301-1/+1
| | * | Fix macc testSergeyDegtyar2019-08-301-1/+1
| | * | div_mod test fixSergeyDegtyar2019-08-301-1/+1
| | * | fix div_mod testSergeyDegtyar2019-08-301-1/+1
| | * | Fix test for counterSergeyDegtyar2019-08-301-1/+1
| | * | Merge branch 'master' into masterSergey2019-08-301-0/+26
| | |\ \
| | * | | Add new tests.SergeyDegtyar2019-08-3010-0/+200
| | * | | Remove unnecessary common.v(assertions for testbenches).SergeyDegtyar2019-08-301-47/+0