aboutsummaryrefslogtreecommitdiffstats
path: root/tests/arch/ice40
Commit message (Expand)AuthorAgeFilesLines
* test/arch/{ecp5,ice40}/memories.ys: Use read_verilog -defer.Marcelina Kościelnicka2021-08-111-27/+54
* Add v2 memory cells.Marcelina Kościelnicka2021-08-111-8/+8
* opt_lut: Allow more than one -dlogic per cell type.Marcelina Kościelnicka2021-07-291-0/+24
* tests: Centralize test collection and Makefile generationXiretza2020-09-211-19/+3
* synth_ice40: Use opt_dff.Marcelina Kościelnicka2020-07-301-1/+1
* allow range for mux testMiodrag Milanovic2020-06-011-1/+2
* test: ice40_dsp test to read +/ice40/cells_sim.v for default paramsEddie Hung2020-04-221-0/+1
* tests: remove write_ilangEddie Hung2020-04-201-1/+0
* Merge pull request #1603 from whitequark/ice40-ram_stylewhitequark2020-04-102-15/+168
|\
| * ice40: do not map FFRAM if explicitly requested otherwise.whitequark2020-04-031-8/+28
| * ecp5: add support for both 1364.1 and LSE RAM/ROM attributes.whitequark2020-02-061-0/+16
| * ice40: match memory inference attribute values case insensitive.whitequark2020-02-061-0/+6
| * ice40: add support for both 1364.1 and LSE RAM/ROM attributes.whitequark2020-02-061-0/+126
| * ice40: remove impossible test.whitequark2020-02-061-15/+0
* | Change attribute search value to specify precise location instead of simple l...Alberto Gonzalez2020-02-241-2/+2
|/
* Import tests from #1628Eddie Hung2020-01-272-0/+102
* ice40: reduce ABC9 internal fanout warnings with a param for CI->I3Eddie Hung2020-01-241-23/+4
* Add #1644 testcaseEddie Hung2020-01-172-0/+2
* ice40_dsp: add testEddie Hung2020-01-171-0/+11
* Add #1626 testcaseEddie Hung2020-01-121-0/+217
* Revert insertion of 'reg', leave note behindEddie Hung2020-01-011-1/+2
* Fix warningsEddie Hung2019-12-312-2/+2
* Call equiv_opt with -multiclock and -assertEddie Hung2019-12-311-1/+1
* Add #1598 testcaseEddie Hung2019-12-271-0/+16
* Rename memory tests to lutram, add more xilinx testsEddie Hung2019-12-121-3/+3
* unmap $__ICE40_CARRY_WRAPPER in testEddie Hung2019-12-091-1/+21
* ice40_wrapcarry to really preserve attributes via -unwrap optionEddie Hung2019-12-091-3/+5
* Drop keep=0 attributes on SB_CARRYEddie Hung2019-12-061-2/+2
* Add WIP test for unwrapping $__ICE40_CARRY_WRAPPEREddie Hung2019-12-051-0/+30
* Check SB_CARRY name also preservedEddie Hung2019-12-031-0/+1
* Add testcaseEddie Hung2019-12-031-0/+60
* Fixed testsMiodrag Milanovic2019-11-111-5/+8
* Common memory test now sharedMiodrag Milanovic2019-10-182-22/+1
* Remove not needed testsMiodrag Milanovic2019-10-184-52/+0
* Share common testsMiodrag Milanovic2019-10-1822-494/+127
* Fix path to yosysMiodrag Milanovic2019-10-181-1/+1
* Moved all tests in arch sub directoryMiodrag Milanovic2019-10-1838-0/+861