aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs
Commit message (Expand)AuthorAgeFilesLines
* Revert "Revert "synth_* with -retime option now calls abc with -D 1 as well""Eddie Hung2019-12-3011-13/+13
* Nitpick cleanup for ecp5Eddie Hung2019-12-272-11/+3
* Merge pull request #1593 from YosysHQ/mwk/dsp48a1-pmgenMarcin Kościelnicki2019-12-253-3/+6
|\
| * xilinx_dsp: Initial DSP48A/DSP48A1 support.Marcin Kościelnicki2019-12-223-3/+6
* | xilinx: Test our DSP48A/DSP48A1 simulation models.Marcin Kościelnicki2019-12-235-7/+362
|/
* Add abc9_arrival times for RAM{32,64}MEddie Hung2019-12-201-24/+10
* Add RAM{32,64}M to abc9_map.vEddie Hung2019-12-201-0/+78
* Revert "Optimise write_xaiger"Eddie Hung2019-12-203-15/+0
* Merge pull request #1558 from YosysHQ/eddie/xaiger_cleanupEddie Hung2019-12-193-0/+15
|\
| * techmap/aigmap of whiteboxes to occur before abc9 instead of in write_xaigerEddie Hung2019-12-063-0/+15
* | xilinx: Add simulation models for remaining CLB primitives.Marcin Kościelnicki2019-12-193-156/+210
* | xilinx_dffopt: Keep order of LUT inputs.Marcin Kościelnicki2019-12-191-16/+30
* | Merge pull request #1563 from YosysHQ/dave/async-prldDavid Shah2019-12-182-4/+28
|\ \
| * | ecp5: Add support for mapping PRLD FFsDavid Shah2019-12-072-4/+28
| |/
* | xilinx: Add xilinx_dffopt pass (#1557)Marcin Kościelnicki2019-12-186-22/+389
* | xilinx: Improve flip-flop handling.Marcin Kościelnicki2019-12-184-38/+228
* | Merge pull request #1574 from YosysHQ/eddie/xilinx_lutramEddie Hung2019-12-163-12/+301
|\ \
| * \ Merge branch 'eddie/xilinx_lutram' of github.com:YosysHQ/yosys into eddie/xil...Eddie Hung2019-12-161-2/+8
| |\ \
| | * | Populate DID/DOD even if unusedEddie Hung2019-12-161-2/+8
| * | | Rename *RAM{32,64}M rules to RAM{32X2,64X1}QEddie Hung2019-12-162-6/+6
| |/ /
| * | Disable RAM16X1D match rule; carry-over from LUT4 archesEddie Hung2019-12-131-6/+9
| * | RAM64M8 to also have [5:0] for addressEddie Hung2019-12-131-8/+8
| * | Add RAM32X6SDP and RAM64X3SDP modesEddie Hung2019-12-122-8/+120
| * | Fix RAM64M model to have 6 bit address busEddie Hung2019-12-121-4/+4
| * | Add memory rules for RAM16X1D, RAM32M, RAM64MEddie Hung2019-12-122-0/+168
* | | Add unconditional match blocks for force RAMEddie Hung2019-12-161-4/+36
* | | Update xc7/xcu bram rulesEddie Hung2019-12-161-8/+4
* | | Removing fixed attribute value to !ramstyle rulesDiego H2019-12-151-4/+4
* | | Merging attribute rules into a single match block; Adding testsDiego H2019-12-151-18/+12
* | | Refactoring memory attribute matching based on IEEE 1364.1 and Tool specificDiego H2019-12-131-0/+19
* | | Merge pull request #1533 from dh73/bram_xilinxEddie Hung2019-12-131-6/+9
|\ \ \ | |/ / |/| |
| * | Fixing citation in xc7_xcu_brams.txt file. Fixing RAMB36E1 test.Diego H2019-12-121-5/+5
| * | Updating RAMB36E1 thresholds. Adding test for both RAMB18E1/RAMB36E1Diego H2019-12-121-2/+2
| * | Merge https://github.com/YosysHQ/yosys into bram_xilinxDiego H2019-12-1220-775/+1170
| |\ \
| * | | Adjusting Vivado's BRAM min bits threshold for RAMB18E1Diego H2019-11-271-2/+5
* | | | abc9_map.v: fix Xilinx LUTRAMEddie Hung2019-12-121-6/+6
| |/ / |/| |
* | | Fix bitwidth mismatch; suppresses iverilog warningEddie Hung2019-12-111-4/+4
* | | Merge pull request #1564 from ZirconiumX/intel_housekeepingDavid Shah2019-12-118-6/+6
|\ \ \
| * | | synth_intel: a10gx -> arria10gxDan Ravensloft2019-12-105-4/+4
| * | | synth_intel: cyclone10 -> cyclone10lpDan Ravensloft2019-12-105-4/+4
| | |/ | |/|
* | | Merge pull request #1545 from YosysHQ/eddie/ice40_wrapcarry_attrEddie Hung2019-12-094-20/+22
|\ \ \ | |/ / |/| |
| * | ice40_opt to restore attributes/name when unwrappingEddie Hung2019-12-091-0/+15
| * | Sensitive to direct inst of $__ICE40_CARRY_WRAPPER; recreate SB_LUT4Eddie Hung2019-12-091-1/+1
| * | ice40_wrapcarry to really preserve attributes via -unwrap optionEddie Hung2019-12-092-19/+1
| * | $__ICE40_CARRY_WRAPPER to use _TECHMAP_REPLACE_ for SB_CARRY to preserveEddie Hung2019-12-031-1/+1
| * | ice40_opt to ignore (* keep *) -ed cellsEddie Hung2019-12-031-0/+5
* | | xilinx: Add tristate buffer mapping. (#1528)Marcin Kościelnicki2019-12-042-9/+16
* | | xilinx: Add models for LUTRAM cells. (#1537)Marcin Kościelnicki2019-12-043-624/+831
|/ /
* | Merge pull request #1524 from pepijndevos/gowindffinitClifford Wolf2019-12-032-112/+270
|\ \
| * | Use -match-init to not synth contradicting init valuesPepijn de Vos2019-12-031-1/+1