aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs
Commit message (Expand)AuthorAgeFilesLines
...
* quicklogic: PolarPro 3 supportLofty2021-03-189-0/+770
* Blackbox all whiteboxes after synthesisgatecat2021-03-1715-0/+15
* memory_dff: Remove now-useless write port handling.Marcelina Kościelnicka2021-03-081-6/+7
* Fix syntax error in adff2dff.vMarcelina Kościelnicka2021-02-241-1/+1
* machxo2: Switch to LUT4 sim model which propagates less undefined/don't care ...William D. Jones2021-02-231-11/+5
* machxo2: Add experimental status to help.William D. Jones2021-02-231-1/+1
* machxo2: Add DCCA and DCMA blackbox primitives.William D. Jones2021-02-231-0/+17
* machxo2: Fix reversed interpretation of REG_SD config bits.William D. Jones2021-02-231-2/+2
* machxo2: Tristate is active-low.William D. Jones2021-02-232-5/+5
* machxo2: Fix typos in FACADE_FF sim model.William D. Jones2021-02-231-5/+4
* machxo2: Fix naming of TRELLIS_IO ports to match PIO pins in routing graph.William D. Jones2021-02-232-6/+6
* machxo2: Improve help_mode output in synth_machxo2.William D. Jones2021-02-231-5/+5
* machxo2: Use attrmvcp pass to move LOC and src attributes from ports/wires to...William D. Jones2021-02-232-1/+17
* machxo2: Add missing OSCH oscillator primitive.William D. Jones2021-02-231-0/+10
* machxo2: Add -noiopad option to synth_machxo2.William D. Jones2021-02-231-2/+11
* machxo2: Use correct INITVAL for LUT1 in FACADE_SLICE.William D. Jones2021-02-231-1/+1
* machxo2: Fix cells_sim typo where OFX1 was multiply-driven.William D. Jones2021-02-231-1/+1
* machxo2: synth_machxo2 now maps ports to FACADE_IO.William D. Jones2021-02-232-0/+12
* machxo2: Add initial value for Q in FACADE_FF.William D. Jones2021-02-231-0/+2
* machxo2: Add FACADE_IO simulation model. More comments on models.William D. Jones2021-02-231-0/+25
* machxo2: Add FACADE_SLICE simulation model.William D. Jones2021-02-231-0/+83
* machxo2: Improve FACADE_FF simulation model.William D. Jones2021-02-231-12/+20
* machxo2: Improve LUT4 techmap. Use same output port name for LUT4 as Lattice.William D. Jones2021-02-232-4/+4
* machxo2: Add dff.ys test, fix another cells_map.v typo.William D. Jones2021-02-231-1/+1
* machxo2: Fix more oversights in machxo2 models. logic.ys test passes.William D. Jones2021-02-232-2/+6
* machxo2: Fix typos. test/arch/run-test.sh passes.William D. Jones2021-02-232-2/+2
* machxo2: Create basic techlibs and synth_machxo2 pass.William D. Jones2021-02-234-0/+320
* Merge pull request #2585 from YosysHQ/dave/nexus-dotproductgatecat2021-02-121-0/+115
|\
| * nexus: Add MULTADDSUB9X9WIDE sim modelDavid Shah2020-12-081-0/+115
* | verilog: significant block scoping improvementsZachary Snow2021-01-315-81/+89
* | xilinx_dffopt: Don't crash on missing IS_*_INVERTED.Marcelina Kościelnicka2021-01-271-3/+3
* | xilinx: Add FDRSE_1, FDCPE_1.Marcelina Kościelnicka2021-01-271-0/+80
* | Fix some trivial typos.Tom Verbeure2021-01-031-5/+5
* | Merge pull request #2480 from YosysHQ/dave/nexus-lramwhitequark2021-01-015-1/+227
|\|
| * nexus: Add LRAM inferenceDavid Shah2020-12-075-1/+227
* | xilinx: Add some missing blackbox cells.Marcelina Kościelnicka2020-12-213-798/+6276
* | xilinx: Regenerate cells_xtra.v using Vivado 2020.2Marcelina Kościelnicka2020-12-212-42/+49
* | xilinx: Add FDDRCPE and FDDRRSE blackbox cells.Marcelina Kościelnicka2020-12-172-0/+33
|/
* nexus: More efficient CO mappingDavid Shah2020-12-021-2/+2
* add -noalu and -json option for apiculaPepijn de Vos2020-11-301-3/+32
* nexus: DSP inference supportDavid Shah2020-11-203-1/+117
* Merge pull request #2441 from YosysHQ/dave/nexus_dsp_simMiodrag Milanović2020-11-183-250/+573
|\
| * nexus: Add DSP simulation modelDavid Shah2020-11-183-250/+573
* | Fix duplicated parameter name typoMiodrag Milanovic2020-11-181-1/+1
* | synth_gowin: Add rPLL blackboxKonrad Beckmann2020-11-111-0/+45
|/
* nexus: Add make_transp to BRAMsDavid Shah2020-10-221-0/+3
* Merge pull request #2405 from byuccl/fix_xilinx_cellsclairexen2020-10-201-2/+2
|\
| * Move signal declarations to before first useJeff Goeders2020-10-191-2/+2
* | synth_nexus: Initial implementationDavid Shah2020-10-1514-0/+12229
|/
* xilinx: do not make DSP48E1 a whitebox for ABC9 by default (#2325)Eddie Hung2020-09-232-17/+65