aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs
Commit message (Expand)AuthorAgeFilesLines
* intel_alm: Fix illegal carry chainsgatecat2021-05-152-3/+5
* intel_alm: Add global buffer insertiongatecat2021-05-156-4/+78
* intel_alm: Add IO buffer insertiongatecat2021-05-156-7/+127
* Add missing parameters for MULT18X18D and ALU54B to ECP5 techlib.Adam Greig2021-05-121-0/+22
* Fix use of blif name in synth_xilinx commandMichael Christensen2021-04-271-1/+1
* Add default assignments to other SB_* simulation modelsClaire Xenia Wolf2021-04-201-24/+44
* Add default assignments to SB_LUT4Claire Xenia Wolf2021-04-201-1/+17
* quicklogic: ABC9 synthesisLofty2021-04-176-5/+80
* sf2: fix name of AND modulesStefan Riesenberger2021-04-091-3/+3
* abc9: fix SCC issues (#2694)Eddie Hung2021-03-292-0/+9
* quicklogic: PolarPro 3 supportLofty2021-03-189-0/+770
* Blackbox all whiteboxes after synthesisgatecat2021-03-1715-0/+15
* memory_dff: Remove now-useless write port handling.Marcelina Kościelnicka2021-03-081-6/+7
* Fix syntax error in adff2dff.vMarcelina Kościelnicka2021-02-241-1/+1
* machxo2: Switch to LUT4 sim model which propagates less undefined/don't care ...William D. Jones2021-02-231-11/+5
* machxo2: Add experimental status to help.William D. Jones2021-02-231-1/+1
* machxo2: Add DCCA and DCMA blackbox primitives.William D. Jones2021-02-231-0/+17
* machxo2: Fix reversed interpretation of REG_SD config bits.William D. Jones2021-02-231-2/+2
* machxo2: Tristate is active-low.William D. Jones2021-02-232-5/+5
* machxo2: Fix typos in FACADE_FF sim model.William D. Jones2021-02-231-5/+4
* machxo2: Fix naming of TRELLIS_IO ports to match PIO pins in routing graph.William D. Jones2021-02-232-6/+6
* machxo2: Improve help_mode output in synth_machxo2.William D. Jones2021-02-231-5/+5
* machxo2: Use attrmvcp pass to move LOC and src attributes from ports/wires to...William D. Jones2021-02-232-1/+17
* machxo2: Add missing OSCH oscillator primitive.William D. Jones2021-02-231-0/+10
* machxo2: Add -noiopad option to synth_machxo2.William D. Jones2021-02-231-2/+11
* machxo2: Use correct INITVAL for LUT1 in FACADE_SLICE.William D. Jones2021-02-231-1/+1
* machxo2: Fix cells_sim typo where OFX1 was multiply-driven.William D. Jones2021-02-231-1/+1
* machxo2: synth_machxo2 now maps ports to FACADE_IO.William D. Jones2021-02-232-0/+12
* machxo2: Add initial value for Q in FACADE_FF.William D. Jones2021-02-231-0/+2
* machxo2: Add FACADE_IO simulation model. More comments on models.William D. Jones2021-02-231-0/+25
* machxo2: Add FACADE_SLICE simulation model.William D. Jones2021-02-231-0/+83
* machxo2: Improve FACADE_FF simulation model.William D. Jones2021-02-231-12/+20
* machxo2: Improve LUT4 techmap. Use same output port name for LUT4 as Lattice.William D. Jones2021-02-232-4/+4
* machxo2: Add dff.ys test, fix another cells_map.v typo.William D. Jones2021-02-231-1/+1
* machxo2: Fix more oversights in machxo2 models. logic.ys test passes.William D. Jones2021-02-232-2/+6
* machxo2: Fix typos. test/arch/run-test.sh passes.William D. Jones2021-02-232-2/+2
* machxo2: Create basic techlibs and synth_machxo2 pass.William D. Jones2021-02-234-0/+320
* Merge pull request #2585 from YosysHQ/dave/nexus-dotproductgatecat2021-02-121-0/+115
|\
| * nexus: Add MULTADDSUB9X9WIDE sim modelDavid Shah2020-12-081-0/+115
* | verilog: significant block scoping improvementsZachary Snow2021-01-315-81/+89
* | xilinx_dffopt: Don't crash on missing IS_*_INVERTED.Marcelina Kościelnicka2021-01-271-3/+3
* | xilinx: Add FDRSE_1, FDCPE_1.Marcelina Kościelnicka2021-01-271-0/+80
* | Fix some trivial typos.Tom Verbeure2021-01-031-5/+5
* | Merge pull request #2480 from YosysHQ/dave/nexus-lramwhitequark2021-01-015-1/+227
|\|
| * nexus: Add LRAM inferenceDavid Shah2020-12-075-1/+227
* | xilinx: Add some missing blackbox cells.Marcelina Kościelnicka2020-12-213-798/+6276
* | xilinx: Regenerate cells_xtra.v using Vivado 2020.2Marcelina Kościelnicka2020-12-212-42/+49
* | xilinx: Add FDDRCPE and FDDRRSE blackbox cells.Marcelina Kościelnicka2020-12-172-0/+33
|/
* nexus: More efficient CO mappingDavid Shah2020-12-021-2/+2
* add -noalu and -json option for apiculaPepijn de Vos2020-11-301-3/+32