aboutsummaryrefslogtreecommitdiffstats
path: root/backends/verilog
Commit message (Expand)AuthorAgeFilesLines
* kernel/rtlil: Extract some helpers for checking memory cell types.Marcelina Kościelnicka2021-05-221-1/+1
* Add verilog backend option for simple_lhsMiodrag Milanovic2020-11-251-6/+22
* generate only simple assignments in verilog backendMiodrag Milanovic2020-11-251-5/+9
* verilog_backend: Use Mem helper.Marcelina Kościelnicka2020-10-211-274/+251
* write_verilog: emit intermediate wire for constant values in sensitivity listN. Engelhardt2020-09-281-7/+53
* Respect \A_SIGNED for $shiftXiretza2020-08-181-6/+4
* verilog_backend: Add handling for all FF types.Marcelina Kościelnicka2020-07-301-252/+134
* verilog_backend: in non-SV mode, add a trigger for `always @*`.whitequark2020-07-161-0/+5
* verilog_backend: add `-sv` option, make `-o <filename>.sv` work.whitequark2020-07-161-11/+18
* Use C++11 final/override keywords.whitequark2020-06-181-2/+2
* Add flooring division operatorXiretza2020-05-281-0/+55
* Add flooring modulo operatorXiretza2020-05-281-0/+34
* write_verilog: fix precondition check.whitequark2020-04-141-1/+1
* kernel: big fat patch to use more ID::*, otherwise ID(*)Eddie Hung2020-04-021-221/+221
* kernel: use more ID::*Eddie Hung2020-04-021-41/+41
* Clean up pseudo-private member usage in `backends/verilog/verilog_backend.cc`.Alberto Gonzalez2020-04-011-22/+19
* specify: system timing checks to accept min:typ:max tripleEddie Hung2020-02-131-2/+10
* write_verilog: dump $mem cell attributes.whitequark2020-02-061-0/+1
* write_verilog: add -extmem option, to write split memory init files.whitequark2019-11-181-10/+80
* write_verilog: do not print (*init*) attributes on regs.whitequark2019-09-221-4/+5
* substr() -> compare()Eddie Hung2019-08-071-2/+2
* RTLIL::S{0,1} -> State::S{0,1}Eddie Hung2019-08-071-6/+6
* Use State::S{0,1}Eddie Hung2019-08-061-2/+2
* Make liberal use of IdString.in()Eddie Hung2019-08-061-1/+1
* Add $_NMUX_, add "abc -g cmos", add proper cmos cell costsClifford Wolf2019-08-061-0/+14
* Merge pull request #1203 from whitequark/write_verilog-zero-width-valuesClifford Wolf2019-07-181-1/+2
|\
| * write_verilog: dump zero width constants correctly.whitequark2019-07-161-1/+2
* | Remove old $pmux_safe code from write_verilogClifford Wolf2019-07-171-5/+4
|/
* Merge pull request #1172 from whitequark/write_verilog-Sa-as-qmarkClifford Wolf2019-07-111-2/+8
|\
| * write_verilog: write RTLIL::Sa aka - as Verilog ?.whitequark2019-07-091-2/+8
* | write_verilog: fix placement of case attributes. NFC.whitequark2019-07-091-3/+2
|/
* verilog_backend: dump attributes on SwitchRule.whitequark2019-07-081-0/+1
* verilog_backend: dump attributes on CaseRule, as comments.whitequark2019-07-081-6/+10
* Fix handling of partial init attributes in write_verilog, fixes #997Clifford Wolf2019-05-071-1/+2
* Improve write_verilog specify supportClifford Wolf2019-05-041-15/+71
* Rename T_{RISE,FALL}_AVG to T_{RISE,FALL}_TYP to better match verilog std nom...Clifford Wolf2019-04-231-2/+2
* Add $specify2/$specify3 support to write_verilogClifford Wolf2019-04-231-0/+47
* Add support for $assert/$assume/$cover to write_verilogClifford Wolf2019-04-231-0/+10
* Add support for zero-width signals to Verilog back-end, fixes #948Clifford Wolf2019-04-221-0/+8
* Add "whitebox" attribute, add "read_verilog -wb"Clifford Wolf2019-04-181-1/+1
* Improve determinism of IdString DB for similar scriptsClifford Wolf2019-03-111-0/+4
* Add "write_verilog -siminit"Clifford Wolf2019-02-281-2/+11
* Instead of INIT param on cells, use initial statement with hier ref asEddie Hung2019-02-171-18/+13
* Merge https://github.com/YosysHQ/yosys into dff_initEddie Hung2019-02-171-38/+41
|\
| * write_verilog: correctly emit asynchronous transparent ports.whitequark2019-01-291-38/+41
* | Remove check for cell->name[0] == '$'Eddie Hung2019-02-061-1/+1
* | RefactorEddie Hung2019-02-061-21/+5
* | write_verilog to cope with init attr on q when -noexprEddie Hung2019-02-061-2/+32
|/
* Merge pull request #800 from whitequark/write_verilog_tribufClifford Wolf2019-01-271-0/+12
|\
| * write_verilog: write $tribuf cell as ternary.whitequark2019-01-271-0/+12