aboutsummaryrefslogtreecommitdiffstats
path: root/backends/verilog
Commit message (Expand)AuthorAgeFilesLines
* verilog_backend: Do not run bwmuxmap even if in expr modeJannis Harder2023-02-131-1/+0
* Add bwmuxmap passJannis Harder2022-11-301-0/+1
* verilog_backend: Do not run bmuxmap or demuxmap in -noexpr mode.Jannis Harder2022-11-301-2/+4
* verilog_backend: Correctly sign extend output of signed `$modfloor`Jannis Harder2022-11-301-2/+2
* verilog_backend: Add -noparallelcase optionJannis Harder2022-11-301-7/+31
* Fitting help messages to 80 character widthKrystalDelusion2022-08-241-1/+2
* verilog backend: Emit a `wire` for ports as well.Marcelina Kościelnicka2022-01-311-1/+1
* Add $bmux and $demux cells.Marcelina Kościelnicka2022-01-281-0/+4
* Add clean_zerowidth pass, use it for Verilog output.Marcelina Kościelnicka2021-12-121-0/+2
* write_verilog: dump zero width sigspecs correctly.whitequark2021-12-111-1/+2
* Give initial wire unique ID, fixes #2914Miodrag Milanovic2021-11-171-4/+6
* Split module ports, 20 per lineMiodrag Milanovic2021-10-091-0/+2
* kernel/ff: Refactor FfData to enable FFs with async load.Marcelina Kościelnicka2021-10-021-43/+70
* kernel/mem: Introduce transparency masks.Marcelina Kościelnicka2021-08-111-9/+9
* backend/verilog: Add alternate mode for transparent read port output.Marcelina Kościelnicka2021-08-011-1/+71
* backends/verilog: Support meminit with mask.Marcelina Kościelnicka2021-07-281-3/+18
* Fixing old e-mail addresses and deadnamesClaire Xenia Wolf2021-06-081-1/+1
* backends/verilog: Add support for memory read port reset and init value.Marcelina Kościelnicka2021-05-271-9/+81
* backends/verilog: Add wide port support.Marcelina Kościelnicka2021-05-271-43/+88
* backends/verilog: Try to preserve mem write port priorities.Marcelina Kościelnicka2021-05-261-32/+84
* kernel/rtlil: Extract some helpers for checking memory cell types.Marcelina Kościelnicka2021-05-221-1/+1
* Add verilog backend option for simple_lhsMiodrag Milanovic2020-11-251-6/+22
* generate only simple assignments in verilog backendMiodrag Milanovic2020-11-251-5/+9
* verilog_backend: Use Mem helper.Marcelina Kościelnicka2020-10-211-274/+251
* write_verilog: emit intermediate wire for constant values in sensitivity listN. Engelhardt2020-09-281-7/+53
* Respect \A_SIGNED for $shiftXiretza2020-08-181-6/+4
* verilog_backend: Add handling for all FF types.Marcelina Kościelnicka2020-07-301-252/+134
* verilog_backend: in non-SV mode, add a trigger for `always @*`.whitequark2020-07-161-0/+5
* verilog_backend: add `-sv` option, make `-o <filename>.sv` work.whitequark2020-07-161-11/+18
* Use C++11 final/override keywords.whitequark2020-06-181-2/+2
* Add flooring division operatorXiretza2020-05-281-0/+55
* Add flooring modulo operatorXiretza2020-05-281-0/+34
* write_verilog: fix precondition check.whitequark2020-04-141-1/+1
* kernel: big fat patch to use more ID::*, otherwise ID(*)Eddie Hung2020-04-021-221/+221
* kernel: use more ID::*Eddie Hung2020-04-021-41/+41
* Clean up pseudo-private member usage in `backends/verilog/verilog_backend.cc`.Alberto Gonzalez2020-04-011-22/+19
* specify: system timing checks to accept min:typ:max tripleEddie Hung2020-02-131-2/+10
* write_verilog: dump $mem cell attributes.whitequark2020-02-061-0/+1
* write_verilog: add -extmem option, to write split memory init files.whitequark2019-11-181-10/+80
* write_verilog: do not print (*init*) attributes on regs.whitequark2019-09-221-4/+5
* substr() -> compare()Eddie Hung2019-08-071-2/+2
* RTLIL::S{0,1} -> State::S{0,1}Eddie Hung2019-08-071-6/+6
* Use State::S{0,1}Eddie Hung2019-08-061-2/+2
* Make liberal use of IdString.in()Eddie Hung2019-08-061-1/+1
* Add $_NMUX_, add "abc -g cmos", add proper cmos cell costsClifford Wolf2019-08-061-0/+14
* Merge pull request #1203 from whitequark/write_verilog-zero-width-valuesClifford Wolf2019-07-181-1/+2
|\
| * write_verilog: dump zero width constants correctly.whitequark2019-07-161-1/+2
* | Remove old $pmux_safe code from write_verilogClifford Wolf2019-07-171-5/+4
|/
* Merge pull request #1172 from whitequark/write_verilog-Sa-as-qmarkClifford Wolf2019-07-111-2/+8
|\
| * write_verilog: write RTLIL::Sa aka - as Verilog ?.whitequark2019-07-091-2/+8