aboutsummaryrefslogtreecommitdiffstats
path: root/tests
Commit message (Expand)AuthorAgeFilesLines
* intel_alm: Add multiply signedness to cellsDan Ravensloft2020-08-262-6/+44
* Merge pull request #2347 from YosysHQ/mwk/techmap-shift-fixesclairexen2020-08-202-14/+3
|\
| * techmap/shift_shiftx: Remove the "shiftx2mux" special path.Marcelina Kościelnicka2020-08-202-14/+3
* | Merge pull request #2344 from YosysHQ/mwk/opt_share-fixesclairexen2020-08-203-0/+54
|\ \
| * | opt_share: Refactor, fix some bugs.Marcelina Kościelnicka2020-08-173-0/+54
* | | Merge pull request #2333 from YosysHQ/mwk/peepopt-shiftmul-signedclairexen2020-08-201-0/+11
|\ \ \
| * | | peeopt.shiftmul: Add a signedness check.Marcelina Kościelnicka2020-08-051-0/+11
* | | | Merge pull request #2328 from YosysHQ/mwk/opt_dff-cleanupclairexen2020-08-203-193/+129
|\ \ \ \
| * | | | Remove now-redundant dff2dffs pass.Marcelina Kościelnicka2020-08-071-50/+0
| * | | | peepopt: Remove now-redundant dffmux pattern.Marcelina Kościelnicka2020-08-072-143/+129
| | |/ / | |/| |
* | | | Merge pull request #2327 from YosysHQ/mwk/techmap-constmap-fixclairexen2020-08-201-0/+15
|\ \ \ \
| * | | | techmap.CONSTMAP: Handle outputs before inputs.Marcelina Kościelnicka2020-08-051-0/+15
| | |/ / | |/| |
* | | | Merge pull request #2326 from YosysHQ/mwk/peeopt-muldiv-signclairexen2020-08-201-0/+12
|\ \ \ \
| * | | | peepopt.muldiv: Add a signedness check.Marcelina Kościelnicka2020-08-041-0/+12
| |/ / /
* | | | Merge pull request #2122 from PeterCrozier/struct_array2clairexen2020-08-191-1/+21
|\ \ \ \ | |_|_|/ |/| | |
| * | | include both power-of-two and non-power-of-two testcasesN. Engelhardt2020-08-181-6/+23
| * | | Support 2D bit arrays in structures. Optimise array indexing.Peter Crozier2020-06-081-2/+5
* | | | Merge pull request #2339 from zachjs/display-format-0sclairexen2020-08-181-0/+7
|\ \ \ \
| * | | | Allow %0s $display format specifierZachary Snow2020-08-091-0/+7
| | |_|/ | |/| |
* | | | Merge pull request #2338 from zachjs/const-branch-finishclairexen2020-08-181-0/+39
|\ \ \ \
| * | | | Propagate const_fold through generate blocks and branchesZachary Snow2020-08-091-0/+39
| |/ / /
* | | | Merge pull request #2317 from zachjs/expand-genblockclairexen2020-08-181-0/+85
|\ \ \ \
| * | | | Fix generate scoping issuesZachary Snow2020-07-311-0/+85
| | |_|/ | |/| |
* | | | Merge branch 'const-func-block-var' of https://github.com/zachjs/yosys into z...Claire Wolf2020-08-182-0/+24
|\ \ \ \
| * | | | Allow blocks with declarations within constant functionsZachary Snow2020-07-252-0/+24
* | | | | Merge pull request #2281 from zachjs/const-realclairexen2020-08-181-0/+12
|\ \ \ \ \ | |_|_|/ / |/| | | |
| * | | | Allow reals as constant function parametersZachary Snow2020-07-191-0/+12
* | | | | Replace opt_rmdff with opt_dff.Marcelina Kościelnicka2020-08-078-34/+31
| |_|/ / |/| | |
* | | | Add dffunmap pass.Marcelina Kościelnicka2020-07-311-0/+100
* | | | opt_expr: Remove -clkinv option, make it the default.Marcelina Kościelnicka2020-07-311-2/+1
* | | | synth_ice40: Use opt_dff.Marcelina Kościelnicka2020-07-301-1/+1
* | | | synth_xilinx: Use opt_dff.Marcelina Kościelnicka2020-07-301-9/+7
* | | | Add opt_dff pass.Marcelina Kościelnicka2020-07-3010-3/+914
* | | | opt_expr: Fix handling of $_XNOR_ cells with A = B.Marcelina Kościelnicka2020-07-291-0/+14
* | | | Merge pull request #2306 from YosysHQ/mwk/equiv_induct-undefclairexen2020-07-281-0/+35
|\ \ \ \
| * | | | equiv_induct: Fix up assumption for $equiv cells in -undef mode.Marcelina Kościelnicka2020-07-271-0/+35
* | | | | intel_alm: direct M10K instantiationDan Ravensloft2020-07-271-0/+6
* | | | | intel_alm: increase abc9 -WDan Ravensloft2020-07-261-6/+6
* | | | | Merge pull request #2299 from zachjs/arg-loopclairexen2020-07-262-0/+45
|\ \ \ \ \ | |/ / / / |/| | | |
| * | | | Avoid generating wires for function args which are constantZachary Snow2020-07-242-0/+45
| | |/ / | |/| |
* | | | zinit: Refactor to use FfInitVals.Marcelina Kościelnicka2020-07-241-2/+2
* | | | clk2fflogic: Support all FF types.Marcelina Kościelnicka2020-07-2419-124/+123
* | | | satgen: Add support for dffe, sdff, sdffe, sdffce cells.Marcelina Kościelnicka2020-07-242-2/+21
|/ / /
* | | Merge pull request #2285 from YosysHQ/mwk/techmap-cellnameclairexen2020-07-231-0/+41
|\ \ \
| * | | techmap: Add _TECHMAP_CELLNAME_ special parameter.Marcelina Kościelnicka2020-07-211-0/+41
| |/ /
* / / intel_alm: add additional ABC9 timingsDan Ravensloft2020-07-231-6/+4
|/ /
* | Merge pull request #2238 from YosysHQ/mwk/dfflegalize-anlogicMiodrag Milanović2020-07-161-12/+14
|\ \
| * | anlogic: Use dfflegalize.Marcelina Kościelnicka2020-07-141-12/+14
* | | Merge pull request #2257 from antmicro/fix-conflictsclairexen2020-07-154-0/+49
|\ \ \
| * | | Revert "Revert PRs #2203 and #2244."Kamil Rakoczy2020-07-104-0/+49