aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sva
Commit message (Expand)AuthorAgeFilesLines
* Fix "verific -extnets" for more complex situationsClifford Wolf2019-03-261-0/+22
* Squelch a little more trailing whitespaceLarry Doolittle2018-12-291-1/+1
* Major redesign of Verific SVA importerClifford Wolf2018-02-271-1/+1
* Add support for SVA throughout via VerificClifford Wolf2018-02-211-1/+1
* Add support for SVA sequence concatenation ranges via verificClifford Wolf2018-02-182-0/+20
* Add support for SVA until statements via VerificClifford Wolf2018-02-181-0/+19
* Fix verific PRIM_SVA_AT handling in properties with PRIM_SVA_DISABLE_IFFClifford Wolf2018-02-151-0/+34
* Remove PSL example from tests/sva/Clifford Wolf2017-10-202-35/+1
* Add simple VHDL+PSL exampleClifford Wolf2017-07-284-17/+64
* Improve Verific SVA importerClifford Wolf2017-07-271-7/+8
* Add counter.sv SVA testClifford Wolf2017-07-271-0/+29
* Improve SVA tests, add Makefile and scriptsClifford Wolf2017-07-2711-9/+110
* Add more SVA test cases for future Verific workClifford Wolf2017-07-225-1/+74
* Add some simple SVA test cases for future Verific workClifford Wolf2017-07-224-0/+45