aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sva
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2017-07-27 14:05:09 +0200
committerClifford Wolf <clifford@clifford.at>2017-07-27 14:05:09 +0200
commitc1cfca8f54ba75c455580467223b609b72f0f58c (patch)
treec284a9bbeaedd2902b875dfbcb28feec68c00e39 /tests/sva
parent877ff1f75ecca4a1d9eece8243f1cde658c14c40 (diff)
downloadyosys-c1cfca8f54ba75c455580467223b609b72f0f58c.tar.gz
yosys-c1cfca8f54ba75c455580467223b609b72f0f58c.tar.bz2
yosys-c1cfca8f54ba75c455580467223b609b72f0f58c.zip
Improve Verific SVA importer
Diffstat (limited to 'tests/sva')
-rw-r--r--tests/sva/counter.sv15
1 files changed, 8 insertions, 7 deletions
diff --git a/tests/sva/counter.sv b/tests/sva/counter.sv
index 5e4b77e69..4f949115e 100644
--- a/tests/sva/counter.sv
+++ b/tests/sva/counter.sv
@@ -11,19 +11,20 @@ module top (input clk, reset, up, down, output reg [7:0] cnt);
default clocking @(posedge clk); endclocking
default disable iff (reset);
- assert property (up |=> cnt == $past(cnt) + 8'd1);
- // assert property (up [*2] |=> cnt == $past(cnt, 2) + 8'd2);
- // assert property (up ##1 up |=> cnt == $past(cnt, 2) + 8'd2);
+ assert property (up |=> cnt == $past(cnt) + 8'd 1);
+ assert property (up [*2] |=> cnt == $past(cnt, 2) + 8'd 2);
+ assert property (up ##1 up |=> cnt == $past(cnt, 2) + 8'd 2);
`ifndef FAIL
assume property (down |-> !up);
`endif
- assert property (down |=> cnt == $past(cnt) - 8'd1);
+ assert property (up ##1 down |=> cnt == $past(cnt, 2));
+ assert property (down |=> cnt == $past(cnt) - 8'd 1);
property down_n(n);
- down [*n] |=> cnt == $past(cnt, n) + n;
+ down [*n] |=> cnt == $past(cnt, n) - n;
endproperty
- // assert property (down_n(3));
- // assert property (down_n(5));
+ assert property (down_n(8'd 3));
+ assert property (down_n(8'd 5));
endmodule