aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sva
Commit message (Expand)AuthorAgeFilesLines
* verific: Use new value change logic also for $stable of wide signals.Jannis Harder2022-05-112-2/+43
* Merge pull request #3305 from jix/sva_value_change_logicJannis Harder2022-05-097-1/+96
|\
| * verific: Improve logic generated for SVA value change expressionsJannis Harder2022-05-097-1/+96
* | Merge pull request #3297 from jix/sva_nested_clk_elseJannis Harder2022-05-091-0/+11
|\ \ | |/ |/|
| * verific: Fix conditions of SVAs with explicit clocks within proceduresJannis Harder2022-05-031-0/+11
* | Fix running sva testsMiodrag Milanovic2022-05-091-4/+3
|/
* Fix "verific -extnets" for more complex situationsClifford Wolf2019-03-261-0/+22
* Squelch a little more trailing whitespaceLarry Doolittle2018-12-291-1/+1
* Major redesign of Verific SVA importerClifford Wolf2018-02-271-1/+1
* Add support for SVA throughout via VerificClifford Wolf2018-02-211-1/+1
* Add support for SVA sequence concatenation ranges via verificClifford Wolf2018-02-182-0/+20
* Add support for SVA until statements via VerificClifford Wolf2018-02-181-0/+19
* Fix verific PRIM_SVA_AT handling in properties with PRIM_SVA_DISABLE_IFFClifford Wolf2018-02-151-0/+34
* Remove PSL example from tests/sva/Clifford Wolf2017-10-202-35/+1
* Add simple VHDL+PSL exampleClifford Wolf2017-07-284-17/+64
* Improve Verific SVA importerClifford Wolf2017-07-271-7/+8
* Add counter.sv SVA testClifford Wolf2017-07-271-0/+29
* Improve SVA tests, add Makefile and scriptsClifford Wolf2017-07-2711-9/+110
* Add more SVA test cases for future Verific workClifford Wolf2017-07-225-1/+74
* Add some simple SVA test cases for future Verific workClifford Wolf2017-07-224-0/+45