aboutsummaryrefslogtreecommitdiffstats
path: root/tests/sva
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2019-03-26 14:17:46 +0100
committerClifford Wolf <clifford@clifford.at>2019-03-26 14:17:46 +0100
commitc863796e9ff91c76f0f8679b6871b8ffcb75edb6 (patch)
tree68bcbc803e4161b3e70d1d3a2bb2cd3eb0b260a2 /tests/sva
parentddc1a4488e9fc10f557e4260df0becbc1cf43f72 (diff)
downloadyosys-c863796e9ff91c76f0f8679b6871b8ffcb75edb6.tar.gz
yosys-c863796e9ff91c76f0f8679b6871b8ffcb75edb6.tar.bz2
yosys-c863796e9ff91c76f0f8679b6871b8ffcb75edb6.zip
Fix "verific -extnets" for more complex situations
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Diffstat (limited to 'tests/sva')
-rw-r--r--tests/sva/extnets.sv22
1 files changed, 22 insertions, 0 deletions
diff --git a/tests/sva/extnets.sv b/tests/sva/extnets.sv
new file mode 100644
index 000000000..47312de7a
--- /dev/null
+++ b/tests/sva/extnets.sv
@@ -0,0 +1,22 @@
+module top(input i, output o);
+ A A();
+ B B();
+ assign A.i = i;
+ assign o = B.o;
+ always @* assert(o == i);
+endmodule
+
+module A;
+ wire i, y;
+`ifdef FAIL
+ assign B.x = i;
+`else
+ assign B.x = !i;
+`endif
+ assign y = !B.y;
+endmodule
+
+module B;
+ wire x, y, o;
+ assign y = x, o = A.y;
+endmodule