aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs
Commit message (Expand)AuthorAgeFilesLines
* Add "synth_xilinx -arch"Clifford Wolf2019-05-071-1/+13
* Merge remote-tracking branch 'origin/master' into clifford/specifyEddie Hung2019-05-036-178/+124
|\
| * Rename cells_map.v to prevent clash with ff_map.vEddie Hung2019-05-031-6/+8
| * Merge pull request #969 from YosysHQ/clifford/pmgenstuffClifford Wolf2019-05-032-0/+4
| |\
| | * Run "peepopt" in generic "synth" pass and "synth_ice40"Clifford Wolf2019-04-302-0/+4
| * | Back to passing all xc7srl tests!Eddie Hung2019-05-011-5/+4
| * | Merge remote-tracking branch 'origin/master' into eddie/synth_xilinx_fineEddie Hung2019-05-013-170/+104
| |\ \
| | * \ Merge pull request #966 from YosysHQ/clifford/fix956Clifford Wolf2019-04-301-1/+1
| | |\ \
| | | * | Add handling of init attributes in "opt_expr -undriven"Clifford Wolf2019-04-301-1/+1
| | | |/
| | * | Refactor synth_xilinx to auto-generate docEddie Hung2019-04-261-153/+95
| | * | Cleanup ice40Eddie Hung2019-04-261-4/+6
| | |/
| * | WIPEddie Hung2019-04-281-36/+22
| * | Move neg-pol to pos-pol mapping from ff_map to cells_map.vEddie Hung2019-04-282-9/+12
| * | Revert synth_xilinx 'fine' label more to how it used to be...Eddie Hung2019-04-261-21/+40
| * | Where did this check come from!?!Eddie Hung2019-04-261-1/+0
| |/
* | Improve $specrule interfaceClifford Wolf2019-04-231-2/+2
* | Improve $specrule interfaceClifford Wolf2019-04-231-3/+4
* | Add $specrule cells for $setup/$hold/$skew specify rulesClifford Wolf2019-04-231-0/+28
* | Rename T_{RISE,FALL}_AVG to T_{RISE,FALL}_TYP to better match verilog std nom...Clifford Wolf2019-04-231-70/+70
* | Add $specify2 and $specify3 cells to simlibClifford Wolf2019-04-231-0/+147
|/
* Update help messageEddie Hung2019-04-221-1/+1
* Move 'shregmap -tech xilinx' into map_cellsEddie Hung2019-04-221-17/+20
* Merge remote-tracking branch 'origin/master' into xc7srlEddie Hung2019-04-2212-21/+480
|\
| * Merge pull request #941 from Wren6991/sim_lib_io_clkeClifford Wolf2019-04-221-10/+19
| |\
| | * ice40 cells_sim.v: SB_IO: update clock enable behaviour based on hardware exp...Luke Wren2019-04-211-10/+19
| * | Merge branch 'master' of https://github.com/dh73/yosys_gowin into dh73-masterClifford Wolf2019-04-2210-10/+458
| |\ \
| | * | GoWin enablement: DRAM, initial BRAM, DRAM init, DRAM sim and synth_gowin flowDiego2019-04-1210-11/+459
| | |/
| * | Re-added clean after techmap in synth_xilinxClifford Wolf2019-04-221-0/+2
| * | Merge pull request #916 from YosysHQ/map_cells_before_map_lutsClifford Wolf2019-04-221-10/+10
| |\ \
| * \ \ Merge pull request #911 from mmicko/gowin-nobramClifford Wolf2019-04-221-1/+1
| |\ \ \
| | * | | Make nobram false by default for gowinMiodrag Milanovic2019-04-021-1/+1
* | | | | Tidy up, fix for -nosrlEddie Hung2019-04-212-12/+16
* | | | | Merge branch 'map_cells_before_map_luts' into xc7srlEddie Hung2019-04-211-2/+2
|\ \ \ \ \ | | |_|/ / | |/| | |
| * | | | Merge branch 'master' into map_cells_before_map_lutsEddie Hung2019-04-216-59/+85
| |\| | |
* | | | | Add commentsEddie Hung2019-04-211-0/+7
* | | | | Use new pmux2shiftx from #944, remove my old attemptEddie Hung2019-04-211-3/+8
* | | | | Merge remote-tracking branch 'origin' into xc7srlEddie Hung2019-04-204-44/+69
|\ \ \ \ \ | | |/ / / | |/| | |
| * | | | Revert "synth_* with -retime option now calls abc with -D 1 as well"Eddie Hung2019-04-1811-15/+15
| * | | | Merge branch 'master' into eddie/fix_retimeEddie Hung2019-04-184-44/+69
| |\ \ \ \ | | | |_|/ | | |/| |
| | * | | Merge pull request #928 from litghost/add_xc7_sim_modelsEddie Hung2019-04-123-41/+60
| | |\ \ \
| | | * | | Remove BUFGCTRL, BUFHCE and LUT6_2 from cells_xtra.Keith Rothman2019-04-123-52/+14
| | | * | | Fix LUT6_2 definition.Keith Rothman2019-04-091-3/+3
| | | * | | Add additional cells sim models for core 7-series primatives.Keith Rothman2019-04-091-0/+57
| | | | |/ | | | |/|
| | * / | Fixing issues in CycloneV cell simDiego2019-04-111-3/+9
| | |/ /
| * | | synth_* with -retime option now calls abc with -D 1 as wellEddie Hung2019-04-1011-15/+15
* | | | Call shregmap twice -- once for variable, another for fixedEddie Hung2019-04-052-8/+14
* | | | Move dffinit til after abcEddie Hung2019-04-053-2/+2
* | | | Merge branch 'eddie/fix_retime' into xc7srlEddie Hung2019-04-054-11/+12
|\| | |
| * | | Move techamp t:$_DFF_?N? to before abc callEddie Hung2019-04-051-2/+2
| * | | RetryEddie Hung2019-04-051-1/+1