aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/xilinx/cells_map.v
Commit message (Expand)AuthorAgeFilesLines
...
| * Rename cells_map.v to prevent clash with ff_map.vEddie Hung2019-05-031-6/+8
* | Trim off leading 1'bx in AEddie Hung2019-05-021-7/+20
* | Add don't care optimisationEddie Hung2019-05-021-0/+11
* | Revert to pre-muxcover approachEddie Hung2019-05-021-17/+77
* | Merge remote-tracking branch 'origin/master' into xc7muxEddie Hung2019-05-021-0/+8
|\|
| * Move neg-pol to pos-pol mapping from ff_map to cells_map.vEddie Hung2019-04-281-0/+8
* | Fix spacingEddie Hung2019-04-261-4/+4
* | Try a different approach with 'muxcover'Eddie Hung2019-04-261-67/+15
* | Cleanup supersededEddie Hung2019-04-251-11/+1
* | TweakEddie Hung2019-04-221-1/+1
* | Fix for A_WIDTH == 2 but B_WIDTH==3Eddie Hung2019-04-221-1/+1
* | Trim A_WIDTH by Y_WIDTH-1Eddie Hung2019-04-221-1/+1
* | Add commentEddie Hung2019-04-221-0/+3
* | Fix for mux_case_* mappingsEddie Hung2019-04-221-17/+9
* | Fix for non-pow2 width muxesEddie Hung2019-04-221-9/+18
* | Add synth_xilinx -nomux optionEddie Hung2019-04-221-0/+2
* | Merge remote-tracking branch 'origin/xc7srl' into xc7muxEddie Hung2019-04-221-0/+125
|\|
| * Call shregmap twice -- once for variable, another for fixedEddie Hung2019-04-051-0/+3
| * techmap inside map_cells stageEddie Hung2019-04-051-1/+0
| * Use soft-logic, not LUT3 instantiationEddie Hung2019-04-041-4/+2
| * Cleanup commentsEddie Hung2019-04-041-5/+4
| * Fine tune cells_map.vEddie Hung2019-03-201-19/+15
| * Revert $__SHREG_ to orig; use $__XILINX_SHREG for variable lengthEddie Hung2019-03-191-53/+20
| * Add support for variable length Xilinx SRL > 128Eddie Hung2019-03-191-11/+67
| * Fix spacingEddie Hung2019-03-191-1/+1
| * Fix INIT for variable length SRs that have been bumped up oneEddie Hung2019-03-191-1/+1
| * Only accept <128 for variable length, only if $shiftx exclusiveEddie Hung2019-03-161-5/+1
| * Cleanup synth_xilinxEddie Hung2019-03-151-1/+1
| * WorkingEddie Hung2019-03-151-40/+69
| * Reverse bits in INIT parameter for Xilinx, since MSB is shifted firstEddie Hung2019-03-141-16/+32
| * Merge remote-tracking branch 'origin/master' into xc7srlEddie Hung2019-03-141-86/+18
| |\
| * | Fix cells_map for SRLEddie Hung2019-03-141-19/+17
| * | Refactor $__SHREG__ in cells_map.vEddie Hung2019-03-131-32/+24
| * | Fix SRL16/32 techmap off-by-oneEddie Hung2019-02-281-18/+24
| * | synth_xilinx to call shregmap with enable supportEddie Hung2019-02-281-23/+28
| * | synth_xilinx to use shregmap with -params tooEddie Hung2019-02-281-21/+18
| * | Add techmap rule for $__SHREG_DFF_P_ to SRL16/32Eddie Hung2019-02-281-0/+71
* | | Fix cells_map.v some moreEddie Hung2019-04-111-7/+7
* | | More fine tuningEddie Hung2019-04-111-2/+2
* | | Fix cells_map.vEddie Hung2019-04-111-7/+7
* | | Fix typoEddie Hung2019-04-111-1/+1
* | | Juggle opt calls in synth_xilinxEddie Hung2019-04-111-27/+32
* | | WIP for cells_map.v -- maybe working?Eddie Hung2019-04-101-32/+27
* | | Try splitting $shiftx with Y_WIDTH > 1 into Y_WIDTH = 1Eddie Hung2019-04-101-31/+38
* | | Fix for when B_SIGNED = 1Eddie Hung2019-04-101-1/+8
* | | Tidy upEddie Hung2019-04-101-1/+1
* | | WIP for $shiftx to wide muxEddie Hung2019-04-101-1/+63
| |/ |/|
* | Changes required for VPR place and route synth_xilinx.Keith Rothman2019-03-011-85/+19
|/
* Improving vpr output support.Tim 'mithro' Ansell2018-04-181-0/+2
* Various cleanups in xilinx techlibClifford Wolf2015-01-181-0/+84