aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/common
Commit message (Expand)AuthorAgeFilesLines
* DSP_MINWIDTH -> DSP_{A,B,Y}_MINWIDTHEddie Hung2019-08-011-4/+11
* Revert "Do not do sign extension in techmap; let packer do it"Eddie Hung2019-08-011-5/+14
* Fix B_WIDTH > DSP_B_MAXWIDTH caseEddie Hung2019-08-011-32/+14
* Do not compute sign bit if result is zeroEddie Hung2019-07-311-1/+2
* For signed multipliers, compute sign bit separately...Eddie Hung2019-07-311-23/+42
* Fix spacingEddie Hung2019-07-261-3/+3
* Add copyright header, comment on cascadeEddie Hung2019-07-241-4/+34
* Typo for Y_WIDTHEddie Hung2019-07-231-1/+1
* Use minimum sized width wiresEddie Hung2019-07-221-7/+13
* Indirection via $__soft_mulEddie Hung2019-07-191-9/+9
* Do not do sign extension in techmap; let packer do itEddie Hung2019-07-191-14/+5
* Do not $mul -> $__mul if A and B are less than maxwidthEddie Hung2019-07-191-1/+3
* Add a DSP_MINWIDTH macro, and soft-logic for {A_WIDTH,B_WIDTH} <= 1 tooEddie Hung2019-07-191-28/+68
* Merge branch 'xc7dsp' into ice40dspEddie Hung2019-07-191-1/+1
|\
| * Fix typo in BEddie Hung2019-07-191-1/+1
| * Merge remote-tracking branch 'origin/master' into xc7dspEddie Hung2019-07-181-1/+1
| |\
* | | Use sign_headroom insteadEddie Hung2019-07-191-4/+4
* | | Do not define `DSP_SIGNEDONLY macro if no existsEddie Hung2019-07-181-4/+3
* | | Merge remote-tracking branch 'origin/master' into ice40dspEddie Hung2019-07-181-1/+1
|\ \ \ | | |/ | |/|
| * | gen_lut to return correctly sized LUT maskEddie Hung2019-07-161-1/+1
| * | Revert "Add "synth -keepdc" option"Eddie Hung2019-07-091-13/+2
| * | Add synth -keepdc optionEddie Hung2019-07-081-2/+13
* | | mul2dsp to create cells that can be interchanged with $mulEddie Hung2019-07-181-1/+7
| |/ |/|
* | Make consistentEddie Hung2019-07-181-1/+2
* | Fix signed multiplier decompositionEddie Hung2019-07-181-29/+36
* | Working for unsignedEddie Hung2019-07-181-52/+28
* | CleanupEddie Hung2019-07-181-70/+58
* | mul2dsp: Lower partial products always have unsigned inputsDavid Shah2019-07-181-31/+41
* | Fix mul2dsp signednessEddie Hung2019-07-171-42/+38
* | A_SIGNED == B_SIGNED so flip bothEddie Hung2019-07-171-21/+12
* | Add DSP_{A,B}_SIGNEDONLY macroEddie Hung2019-07-161-11/+40
* | Merge branch 'xc7dsp' of github.com:YosysHQ/yosys into xc7dspEddie Hung2019-07-161-22/+26
|\ \
| * | mul2dsp: Fix edge case where Y_WIDTH is less than B_WIDTH+`DSP_A_MAXWIDTHDavid Shah2019-07-161-18/+22
| * | mul2dsp: Fix indentationDavid Shah2019-07-161-7/+7
* | | Do not swap if equalsEddie Hung2019-07-151-1/+1
* | | OUT port to Y in generic DSPEddie Hung2019-07-151-1/+1
|/ /
* | Only swap if B_WIDTH > A_WIDTHEddie Hung2019-07-151-1/+1
* | Tidy upEddie Hung2019-07-151-39/+26
* | mul2dsp: Fix typoDavid Shah2019-07-081-1/+1
* | Add mul2dsp multiplier splitting rule and ECP5 mappingDavid Shah2019-07-082-0/+238
|/
* Make doc consistentEddie Hung2019-06-141-1/+4
* Merge remote-tracking branch 'origin/master' into xaigEddie Hung2019-06-123-2/+182
|\
| * Add "wreduce -keepdc", fixes #1016Clifford Wolf2019-05-201-2/+4
| * Merge remote-tracking branch 'origin/master' into clifford/specifyEddie Hung2019-05-031-0/+2
| |\
| | * Run "peepopt" in generic "synth" pass and "synth_ice40"Clifford Wolf2019-04-301-0/+2
| * | Improve $specrule interfaceClifford Wolf2019-04-231-2/+2
| * | Improve $specrule interfaceClifford Wolf2019-04-231-3/+4
| * | Add $specrule cells for $setup/$hold/$skew specify rulesClifford Wolf2019-04-231-0/+28
| * | Rename T_{RISE,FALL}_AVG to T_{RISE,FALL}_TYP to better match verilog std nom...Clifford Wolf2019-04-231-70/+70
| * | Add $specify2 and $specify3 cells to simlibClifford Wolf2019-04-231-0/+147
| |/