aboutsummaryrefslogtreecommitdiffstats
path: root/kernel/rtlil.h
Commit message (Collapse)AuthorAgeFilesLines
* Undo iterator based Module::remove() for cells, as containers will notEddie Hung2019-06-271-1/+0
| | | | invalidate
* Fix leak removing cells during ABC integration; also preserve attrEddie Hung2019-06-171-0/+1
|
* Further cleanup based on @daveshah1Eddie Hung2019-06-141-0/+6
|
* Merge remote-tracking branch 'origin/master' into xaigEddie Hung2019-06-121-1/+65
|\
| * Refactor hierarchy wand/wor handlingClifford Wolf2019-05-281-0/+1
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * Add rewrite_sigspecs2, Improve remove() wiresClifford Wolf2019-05-151-0/+60
| | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * Merge pull request #991 from kristofferkoch/gcc9-warningsClifford Wolf2019-05-081-0/+3
| |\ | | | | | | Fix all warnings that occurred when compiling with gcc9
| | * Fix all warnings that occurred when compiling with gcc9Kristoffer Ellersgaard Koch2019-05-081-0/+3
| | |
| * | Improve write_verilog specify supportClifford Wolf2019-05-041-1/+1
| |/ | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | Merge remote-tracking branch 'origin/master' into xaigEddie Hung2019-04-221-1/+26
|\|
| * Merge pull request #905 from christian-krieg/feature/python_bindingsClifford Wolf2019-04-221-1/+26
| |\ | | | | | | Feature/python bindings
| | * Merge remote-tracking branch 'origin/master' into feature/python_bindingsBenedikt Tutzer2019-03-281-6/+74
| | |\
| | * | Deleted duplicate DestructorBenedikt Tutzer2018-08-211-1/+0
| | | |
| | * | added some checks if python is enabled to make sure everything compiles if ↵Benedikt Tutzer2018-08-201-0/+1
| | | | | | | | | | | | | | | | python is disabled in the makefile
| | * | Added Wrappers for:Benedikt Tutzer2018-08-131-3/+11
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | -IdString -Const -CaseRule -SwitchRule -SyncRule -Process -SigChunk -SigBit -SigSpec With all their member functions as well as the remaining member functions for Cell, Wire, Module and Design and static functions of rtlil.h
| | * | added destructors for wires and cellsBenedikt Tutzer2018-07-101-1/+2
| | | |
| | * | multiple designs can now exist independent from each other. ↵Benedikt Tutzer2018-07-091-0/+16
| | | | | | | | | | | | | | | | Cells/Wires/Modules can now move to a different parent without referencing issues
* | | | Merge remote-tracking branch 'origin/master' into xaigEddie Hung2019-04-201-1/+1
|\| | |
| * | | Add "wbflip" commandClifford Wolf2019-04-201-1/+1
| | | | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * | | Ignore 'whitebox' attr in flatten with "-wb" optionEddie Hung2019-04-181-2/+2
| | | |
* | | | Ignore 'whitebox' attr in flatten with "-wb" optionEddie Hung2019-04-181-2/+2
| | | |
* | | | Merge remote-tracking branch 'origin/clifford/whitebox' into xaigEddie Hung2019-04-181-0/+4
|\| | |
| * | | Add "whitebox" attribute, add "read_verilog -wb"Clifford Wolf2019-04-181-0/+4
| | | | | | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | | Merge branch 'master' into xaigEddie Hung2019-04-081-5/+68
|\| | |
| * | | Add "read_ilang -lib"Clifford Wolf2019-04-051-0/+1
| | |/ | |/| | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * | Add RTLIL::Const::ext[su](), fix RTLIL::SigSpec::extend_u0 for 0-size signalsClifford Wolf2019-03-231-0/+8
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
| * | Improve determinism of IdString DB for similar scriptsClifford Wolf2019-03-111-5/+59
| | | | | | | | | | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* | | Add IdString::ends_with()Eddie Hung2019-02-261-0/+6
|/ /
* | proc_clean: remove any empty cases if all cases use all-def compare.whitequark2018-12-231-0/+4
| |
* | Support for 'modports' for System Verilog interfacesRuben Undheim2018-10-121-1/+1
| |
* | Synthesis support for SystemVerilog interfacesRuben Undheim2018-10-121-0/+2
| | | | | | | | This time doing the changes mostly in AST before RTLIL generation
* | Consistent use of 'override' for virtual methods in derived classes.Henner Zeller2018-07-201-1/+1
|/ | | | | | | | | o Not all derived methods were marked 'override', but it is a great feature of C++11 that we should make use of. o While at it: touched header files got a -*- c++ -*- for emacs to provide support for that language. o use YS_OVERRIDE for all override keywords (though we should probably use the plain keyword going forward now that C++11 is established)
* Add $allconst and $allseq cell typesClifford Wolf2018-02-231-0/+2
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Bugfix in hierarchy handling of blackbox module portsClifford Wolf2018-01-051-1/+1
| | | | Signed-off-by: Clifford Wolf <clifford@clifford.at>
* Add RTLIL::Const::is_fully_ones()Clifford Wolf2017-12-141-0/+1
|
* Add SigSpec::is_fully_ones()Clifford Wolf2017-12-141-0/+1
|
* Add src arguments to all cell creator helper functionsClifford Wolf2017-09-091-153/+153
|
* Merge remote-tracking branch 'upstream/master'Jason Lowdermilk2017-08-301-0/+4
|\
| * Add {get,set}_src_attribute() methods on RTLIL::AttrObjectClifford Wolf2017-08-301-0/+4
| |
* | Add support for source line tracking through synthesis phaseJason Lowdermilk2017-08-291-18/+18
|/
* Add Const methods is_fully_zero(), is_fully_def(), and is_fully_undef()Clifford Wolf2017-08-181-0/+4
|
* Add "setundef -anyseq"Clifford Wolf2017-05-281-12/+12
|
* Add missing AndnotGate() and OrnotGate() declarations to rtlil.hClifford Wolf2017-05-171-13/+15
|
* Add $_ANDNOT_ and $_ORNOT_ gatesClifford Wolf2017-05-171-13/+15
|
* Add $live and $fair cell types, add support for s_eventually keywordClifford Wolf2017-02-251-0/+2
|
* Add $cover cell type and SVA cover() supportClifford Wolf2017-02-041-0/+1
|
* Remember global declarations and defines accross read_verilog callsClifford Wolf2016-11-151-1/+2
|
* Added $anyseq cell typeClifford Wolf2016-10-141-0/+1
|
* Added $global_clock verilog syntax support for creating $ff cellsClifford Wolf2016-10-141-1/+2
|
* Added $ff and $_FF_ cell typesClifford Wolf2016-10-121-0/+2
|