summaryrefslogtreecommitdiffstats
path: root/counter.vhd
diff options
context:
space:
mode:
authorfishsoupisgood <github@madingley.org>2018-05-17 09:39:06 +0100
committerfishsoupisgood <github@madingley.org>2018-05-17 09:39:06 +0100
commitaac3a573c21dd7822dad9259757b1cd3f3163054 (patch)
treec8b585443040dc09da2dd0dd66a7db649f5b5cdf /counter.vhd
parent0780df86a9ec88bf8810f7fef1d241030dc1b655 (diff)
downloadrob_spdif-aac3a573c21dd7822dad9259757b1cd3f3163054.tar.gz
rob_spdif-aac3a573c21dd7822dad9259757b1cd3f3163054.tar.bz2
rob_spdif-aac3a573c21dd7822dad9259757b1cd3f3163054.zip
add silence detection
Diffstat (limited to 'counter.vhd')
-rw-r--r--counter.vhd2
1 files changed, 1 insertions, 1 deletions
diff --git a/counter.vhd b/counter.vhd
index 4ae6a2a..0be542f 100644
--- a/counter.vhd
+++ b/counter.vhd
@@ -8,7 +8,7 @@ use IEEE.numeric_std.all;
entity counter is
port
(
- divisor : in std_logic_vector(15 downto 0) := (others => '0');
+ divisor : in integer;
clk : in std_logic;
n_reset : in std_logic;
clk_out : out std_logic