summaryrefslogtreecommitdiffstats
path: root/counter.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'counter.vhd')
-rw-r--r--counter.vhd2
1 files changed, 1 insertions, 1 deletions
diff --git a/counter.vhd b/counter.vhd
index 4ae6a2a..0be542f 100644
--- a/counter.vhd
+++ b/counter.vhd
@@ -8,7 +8,7 @@ use IEEE.numeric_std.all;
entity counter is
port
(
- divisor : in std_logic_vector(15 downto 0) := (others => '0');
+ divisor : in integer;
clk : in std_logic;
n_reset : in std_logic;
clk_out : out std_logic