summaryrefslogtreecommitdiffstats
BranchCommit messageAuthorAge
masternew pinout and ledroot6 years
 
 
AgeCommit messageAuthorFilesLines
2018-05-29new pinout and ledHEADmasterroot2-7/+18
2018-05-29Just one freq supportedRob Langley3-28/+31
2018-05-17only use +ve clock edgesfishsoupisgood1-1/+1
2018-05-17only mute if all muteroot1-1/+1
2018-05-17minor fixes, make clock simulator happy and fix AS programmingroot5-18/+11
2018-05-17tidyJames8-256/+256
2018-05-17working 3 detectors with parity checksroot3-1/+206
2018-05-17working 3 detectors with parity checksroot6-154/+177
2018-05-17add silence detectionfishsoupisgood6-104/+96
2018-05-17first version for rob - supports only 44.1kHzfishsoupisgood16-0/+1580
 
Clone
git://git.panaceas.org/misc/rob_spdif
ssh://git@git.panaceas.org/git/misc/rob_spdif