From aac3a573c21dd7822dad9259757b1cd3f3163054 Mon Sep 17 00:00:00 2001 From: fishsoupisgood Date: Thu, 17 May 2018 09:39:06 +0100 Subject: add silence detection --- counter.vhd | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'counter.vhd') diff --git a/counter.vhd b/counter.vhd index 4ae6a2a..0be542f 100644 --- a/counter.vhd +++ b/counter.vhd @@ -8,7 +8,7 @@ use IEEE.numeric_std.all; entity counter is port ( - divisor : in std_logic_vector(15 downto 0) := (others => '0'); + divisor : in integer; clk : in std_logic; n_reset : in std_logic; clk_out : out std_logic -- cgit v1.2.3