summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorfishsoupisgood <github@madingley.org>2018-05-17 23:19:20 +0100
committerfishsoupisgood <github@madingley.org>2018-05-17 23:19:20 +0100
commit741560238b27473cd9faa4e52abc55baa868ee27 (patch)
tree93f20c476d8d7e29babf82c463389ce77fb13ba1
parent197c9ef49989a2900688e19a7e66e3b5eae08b2f (diff)
downloadrob_spdif-741560238b27473cd9faa4e52abc55baa868ee27.tar.gz
rob_spdif-741560238b27473cd9faa4e52abc55baa868ee27.tar.bz2
rob_spdif-741560238b27473cd9faa4e52abc55baa868ee27.zip
only use +ve clock edges
-rw-r--r--ccd.vhd2
1 files changed, 1 insertions, 1 deletions
diff --git a/ccd.vhd b/ccd.vhd
index 966369f..c4e66ad 100644
--- a/ccd.vhd
+++ b/ccd.vhd
@@ -42,7 +42,7 @@ begin
dflipflop port map (
n_reset => n_reset,
d => d1,
- clk => not clk,
+ clk => clk,
q => q
);