aboutsummaryrefslogtreecommitdiffstats
path: root/tests
Commit message (Expand)AuthorAgeFilesLines
* xilinx_dsp: Initial DSP48A/DSP48A1 support.Marcin Kościelnicki2019-12-223-0/+29
* Merge pull request #1569 from YosysHQ/eddie/fix_1531Eddie Hung2019-12-191-0/+34
|\
| * Add testcaseEddie Hung2019-12-111-0/+34
* | Merge pull request #1571 from YosysHQ/eddie/fix_1570Eddie Hung2019-12-191-3/+1
|\ \
| * | Make SV2017 compliant courtesy of @wsnyderEddie Hung2019-12-121-3/+1
| |/
* | Merge pull request #1572 from nakengelhardt/scratchpad_passEddie Hung2019-12-181-0/+5
|\ \
| * | add assert option to scratchpad commandN. Engelhardt2019-12-162-14/+5
| * | add test and make help message more verboseN. Engelhardt2019-12-121-0/+14
| |/
* | tests/xilinx: fix flaky mux testMarcin Kościelnicki2019-12-181-2/+4
* | xilinx: Add xilinx_dffopt pass (#1557)Marcin Kościelnicki2019-12-183-3/+232
* | xilinx: Improve flip-flop handling.Marcin Kościelnicki2019-12-183-11/+12
* | Merge pull request #1574 from YosysHQ/eddie/xilinx_lutramEddie Hung2019-12-1610-53/+228
|\ \
| * | Disable RAM16X1D testEddie Hung2019-12-131-17/+17
| * | Remove extraneous synth_xilinx callEddie Hung2019-12-121-2/+0
| * | Add tests for these new modelsEddie Hung2019-12-121-0/+40
| * | Add #1460 testcaseEddie Hung2019-12-121-0/+34
| * | Rename memory tests to lutram, add more xilinx testsEddie Hung2019-12-129-53/+156
| |/
* | Add another testEddie Hung2019-12-161-1/+8
* | Accidentally commented out testsEddie Hung2019-12-161-47/+47
* | Add unconditional match blocks for force RAMEddie Hung2019-12-161-0/+9
* | Merge blockram testsEddie Hung2019-12-163-47/+81
* | Fixing compiler warning/issues. Moving test script to the correct placeDiego H2019-12-161-6/+6
* | Removing fixed attribute value to !ramstyle rulesDiego H2019-12-151-3238/+0
* | Merging attribute rules into a single match block; Adding testsDiego H2019-12-153-0/+3373
* | Renaming BRAM memory tests for the sake of uniformityDiego H2019-12-132-6/+6
* | Fixing citation in xc7_xcu_brams.txt file. Fixing RAMB36E1 test.Diego H2019-12-121-2/+2
* | Adding a note (TODO) in the memory_params.ys check fileDiego H2019-12-121-0/+2
* | Updating RAMB36E1 thresholds. Adding test for both RAMB18E1/RAMB36E1Diego H2019-12-122-0/+90
|/
* Merge pull request #1545 from YosysHQ/eddie/ice40_wrapcarry_attrEddie Hung2019-12-093-23/+136
|\
| * unmap $__ICE40_CARRY_WRAPPER in testEddie Hung2019-12-091-1/+21
| * ice40_wrapcarry to really preserve attributes via -unwrap optionEddie Hung2019-12-091-3/+5
| * Drop keep=0 attributes on SB_CARRYEddie Hung2019-12-061-2/+2
| * Add WIP test for unwrapping $__ICE40_CARRY_WRAPPEREddie Hung2019-12-051-0/+30
| * Check SB_CARRY name also preservedEddie Hung2019-12-031-0/+1
| * Add testcaseEddie Hung2019-12-031-0/+60
* | tests: arch: xilinx: Change order of arguments in macc.shJan Kowalewski2019-12-061-1/+1
* | iopadmap: Refactor and fix tristate buffer mapping. (#1527)Marcin Kościelnicki2019-12-041-0/+99
|/
* Merge pull request #1524 from pepijndevos/gowindffinitClifford Wolf2019-12-033-2/+301
|\
| * update testPepijn de Vos2019-12-031-2/+3
| * Use -match-init to not synth contradicting init valuesPepijn de Vos2019-12-031-10/+12
| * attempt to fix formattingPepijn de Vos2019-11-251-138/+138
| * gowin: add and test dff init valuesPepijn de Vos2019-11-252-0/+296
* | abc9: Fix breaking of SCCsDavid Shah2019-12-011-0/+6
* | Merge pull request #1536 from YosysHQ/eddie/xilinx_dsp_muladdEddie Hung2019-11-271-0/+69
|\ \
| * | No need for -abc9Eddie Hung2019-11-261-1/+1
| * | Add citationEddie Hung2019-11-261-0/+1
| * | Add testcase derived from fastfir_dynamictaps benchmarkEddie Hung2019-11-261-0/+68
* | | Merge pull request #1534 from YosysHQ/mwk/opt_share-fixClifford Wolf2019-11-271-0/+13
|\ \ \
| * | | opt_share: Fix handling of fine cells.Marcin Kościelnicki2019-11-271-0/+13
| |/ /
* / / Remove notesEddie Hung2019-11-261-9/+0
|/ /