aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs
Commit message (Collapse)AuthorAgeFilesLines
* memory_dff: Remove now-useless write port handling.Marcelina Kościelnicka2021-03-081-6/+7
|
* Fix syntax error in adff2dff.vMarcelina Kościelnicka2021-02-241-1/+1
| | | | Fixes #2600.
* machxo2: Switch to LUT4 sim model which propagates less undefined/don't care ↵William D. Jones2021-02-231-11/+5
| | | | values.
* machxo2: Add experimental status to help.William D. Jones2021-02-231-1/+1
|
* machxo2: Add DCCA and DCMA blackbox primitives.William D. Jones2021-02-231-0/+17
|
* machxo2: Fix reversed interpretation of REG_SD config bits.William D. Jones2021-02-231-2/+2
|
* machxo2: Tristate is active-low.William D. Jones2021-02-232-5/+5
|
* machxo2: Fix typos in FACADE_FF sim model.William D. Jones2021-02-231-5/+4
|
* machxo2: Fix naming of TRELLIS_IO ports to match PIO pins in routing graph.William D. Jones2021-02-232-6/+6
|
* machxo2: Improve help_mode output in synth_machxo2.William D. Jones2021-02-231-5/+5
|
* machxo2: Use attrmvcp pass to move LOC and src attributes from ports/wires ↵William D. Jones2021-02-232-1/+17
| | | | to IO cells.
* machxo2: Add missing OSCH oscillator primitive.William D. Jones2021-02-231-0/+10
|
* machxo2: Add -noiopad option to synth_machxo2.William D. Jones2021-02-231-2/+11
|
* machxo2: Use correct INITVAL for LUT1 in FACADE_SLICE.William D. Jones2021-02-231-1/+1
|
* machxo2: Fix cells_sim typo where OFX1 was multiply-driven.William D. Jones2021-02-231-1/+1
|
* machxo2: synth_machxo2 now maps ports to FACADE_IO.William D. Jones2021-02-232-0/+12
|
* machxo2: Add initial value for Q in FACADE_FF.William D. Jones2021-02-231-0/+2
|
* machxo2: Add FACADE_IO simulation model. More comments on models.William D. Jones2021-02-231-0/+25
|
* machxo2: Add FACADE_SLICE simulation model.William D. Jones2021-02-231-0/+83
|
* machxo2: Improve FACADE_FF simulation model.William D. Jones2021-02-231-12/+20
|
* machxo2: Improve LUT4 techmap. Use same output port name for LUT4 as Lattice.William D. Jones2021-02-232-4/+4
|
* machxo2: Add dff.ys test, fix another cells_map.v typo.William D. Jones2021-02-231-1/+1
|
* machxo2: Fix more oversights in machxo2 models. logic.ys test passes.William D. Jones2021-02-232-2/+6
|
* machxo2: Fix typos. test/arch/run-test.sh passes.William D. Jones2021-02-232-2/+2
|
* machxo2: Create basic techlibs and synth_machxo2 pass.William D. Jones2021-02-234-0/+320
|
* Merge pull request #2585 from YosysHQ/dave/nexus-dotproductgatecat2021-02-121-0/+115
|\ | | | | nexus: Add MULTADDSUB9X9WIDE sim model
| * nexus: Add MULTADDSUB9X9WIDE sim modelDavid Shah2020-12-081-0/+115
| | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
* | verilog: significant block scoping improvementsZachary Snow2021-01-315-81/+89
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | This change set contains a number of bug fixes and improvements related to scoping and resolution in generate and procedural blocks. While many of the frontend changes are interdependent, it may be possible bring the techmap changes in under a separate PR. Declarations within unnamed generate blocks previously encountered issues because the data declarations were left un-prefixed, breaking proper scoping. The LRM outlines behavior for generating names for unnamed generate blocks. The original goal was to add this implicit labelling, but doing so exposed a number of issues downstream. Additional testing highlighted other closely related scope resolution issues, which have been fixed. This change also adds support for block item declarations within unnamed blocks in SystemVerilog mode. 1. Unlabled generate blocks are now implicitly named according to the LRM in `label_genblks`, which is invoked at the beginning of module elaboration 2. The Verilog parser no longer wraps explicitly named generate blocks in a synthetic unnamed generate block to avoid creating extra hierarchy levels where they should not exist 3. The techmap phase now allows special control identifiers to be used outside of the topmost scope, which is necessary because such wires and cells often appear in unlabeled generate blocks, which now prefix the declarations within 4. Some techlibs required modifications because they relied on the previous invalid scope resolution behavior 5. `expand_genblock` has been simplified, now only expanding the outermost scope, completely deferring the inspection and elaboration of nested scopes; names are now resolved by looking in the innermost scope and stepping outward 6. Loop variables now always become localparams during unrolling, allowing them to be resolved and shadowed like any other identifier 7. Identifiers in synthetic function call scopes are now prefixed and resolved in largely the same manner as other blocks before: `$func$\func_01$tests/simple/scopes.blk.v:60$5$\blk\x` after: `\func_01$func$tests/simple/scopes.v:60$5.blk.x` 8. Support identifiers referencing a local generate scope nested more than 1 level deep, i.e. `B.C.x` while within generate scope `A`, or using a prefix of a current or parent scope, i.e. `B.C.D.x` while in `A.B`, `A.B.C`, or `A.B.C.D` 9. Variables can now be declared within unnamed blocks in SystemVerilog mode Addresses the following issues: 656, 2423, 2493
* | xilinx_dffopt: Don't crash on missing IS_*_INVERTED.Marcelina Kościelnicka2021-01-271-3/+3
| | | | | | | | | | | | | | | | The presence of IS_*_INVERTED on FD* cells follows Vivado, which apparently has been decided by a dice roll. Just assume false if the parameter doesn't exist. Fixes #2559.
* | xilinx: Add FDRSE_1, FDCPE_1.Marcelina Kościelnicka2021-01-271-0/+80
| |
* | Fix some trivial typos.Tom Verbeure2021-01-031-5/+5
| |
* | Merge pull request #2480 from YosysHQ/dave/nexus-lramwhitequark2021-01-015-1/+227
|\| | | | | nexus: Add LRAM inference
| * nexus: Add LRAM inferenceDavid Shah2020-12-075-1/+227
| | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
* | xilinx: Add some missing blackbox cells.Marcelina Kościelnicka2020-12-213-798/+6276
| |
* | xilinx: Regenerate cells_xtra.v using Vivado 2020.2Marcelina Kościelnicka2020-12-212-42/+49
| |
* | xilinx: Add FDDRCPE and FDDRRSE blackbox cells.Marcelina Kościelnicka2020-12-172-0/+33
|/ | | | | These are necessary primitives for proper DDR support on Virtex 2 and Spartan 3.
* nexus: More efficient CO mappingDavid Shah2020-12-021-2/+2
| | | | Signed-off-by: David Shah <dave@ds0.me>
* add -noalu and -json option for apiculaPepijn de Vos2020-11-301-3/+32
|
* nexus: DSP inference supportDavid Shah2020-11-203-1/+117
| | | | Signed-off-by: David Shah <dave@ds0.me>
* Merge pull request #2441 from YosysHQ/dave/nexus_dsp_simMiodrag Milanović2020-11-183-250/+573
|\ | | | | nexus: Add DSP simulation model
| * nexus: Add DSP simulation modelDavid Shah2020-11-183-250/+573
| | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
* | Fix duplicated parameter name typoMiodrag Milanovic2020-11-181-1/+1
| |
* | synth_gowin: Add rPLL blackboxKonrad Beckmann2020-11-111-0/+45
|/
* nexus: Add make_transp to BRAMsDavid Shah2020-10-221-0/+3
| | | | Signed-off-by: David Shah <dave@ds0.me>
* Merge pull request #2405 from byuccl/fix_xilinx_cellsclairexen2020-10-201-2/+2
|\ | | | | xilinx/cells_sim.v: Move signal declaration to before first use
| * Move signal declarations to before first useJeff Goeders2020-10-191-2/+2
| | | | | | | | Signed-off-by: Jeff Goeders <jeff.goeders@gmail.com>
* | synth_nexus: Initial implementationDavid Shah2020-10-1514-0/+12229
|/ | | | Signed-off-by: David Shah <dave@ds0.me>
* xilinx: do not make DSP48E1 a whitebox for ABC9 by default (#2325)Eddie Hung2020-09-232-17/+65
| | | | | | | | | | | * xilinx: eliminate SCCs from DSP48E1 model * xilinx: add SCC test for DSP48E1 * Update techlibs/xilinx/cells_sim.v * xilinx: Gate DSP48E1 being a whitebox behind ALLOW_WHITEBOX_DSP48E1 Have a test that checks it works through ABC9 when enabled
* intel_alm: better map wide but shallow multipliesDan Ravensloft2020-08-281-2/+6
|
* intel_alm: Add multiply signedness to cellsDan Ravensloft2020-08-265-10/+103
| | | | | | Quartus assumes unsigned multiplication by default, breaking signed multiplies, so add an input signedness parameter to the MISTRAL_MUL* cells to propagate to Quartus' <family>_mac cells.