aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs
Commit message (Expand)AuthorAgeFilesLines
* Add pattern detection support for DSP48E1 model, check against vendorEddie Hung2019-09-183-8/+102
* Add `undef DSP48E1_INSTEddie Hung2019-09-131-4/+5
* Fix D -> P{,COUT} delayEddie Hung2019-09-131-43/+43
* Add no MULT no DPORT configEddie Hung2019-09-134-226/+471
* Add support for MULT and DPORTEddie Hung2019-09-134-10/+588
* Refine diagramEddie Hung2019-09-131-12/+14
* Add an ASCII drawingEddie Hung2019-09-121-3/+22
* Finish explanationEddie Hung2019-09-122-5/+20
* Rename to techmap_guardEddie Hung2019-09-121-2/+3
* Initial DSP48E1 box supportEddie Hung2019-09-124-0/+867
* Set more ports explicitlyEddie Hung2019-09-121-1/+2
* Missing spaceEddie Hung2019-09-111-0/+1
* Merge remote-tracking branch 'origin/master' into xc7dspEddie Hung2019-09-115-53/+219
|\
| * synth_xilinx: Support init values on Spartan 6 flip-flops properly.Marcin Koƛcielnicki2019-09-075-53/+219
* | Move "(skip if -nodsp)" message to labelEddie Hung2019-09-101-4/+4
* | Be sensitive to signednessEddie Hung2019-09-101-20/+21
* | Really get rid of 'opt_expr -fine' by being explicitEddie Hung2019-09-102-9/+33
* | Remove wreduce callEddie Hung2019-09-101-1/+0
* | Add comment for why opt_expr is necessaryEddie Hung2019-09-101-0/+2
* | Revert "Remove "opt_expr -fine" call"Eddie Hung2019-09-101-0/+1
* | Rename label to map_dspEddie Hung2019-09-101-1/+1
* | Remove "opt_expr -fine" callEddie Hung2019-09-101-1/+0
* | Set USE_MULT and USE_SIMDEddie Hung2019-09-091-1/+3
* | Merge remote-tracking branch 'origin/master' into xc7dspEddie Hung2019-09-0520-91/+531
|\|
| * Resolve TODO with pin assignments for SRL*Eddie Hung2019-09-041-4/+2
| * Add commentsEddie Hung2019-09-021-1/+9
| * Rename boxEddie Hung2019-09-021-1/+1
| * Merge remote-tracking branch 'origin/master' into xaig_arrivalEddie Hung2019-09-022-7/+8
| |\
| * | Remove trailing spaceEddie Hung2019-08-301-2/+2
| * | Merge remote-tracking branch 'origin/master' into xaig_arrivalEddie Hung2019-08-3010-109/+150
| |\ \
| * | | Use a dummy box file if none specifiedEddie Hung2019-08-282-0/+2
| * | | Comment out SB_MAC16 arrival time for now, need to handle all its modesEddie Hung2019-08-281-1/+1
| * | | Add arrival for SB_MAC16.OEddie Hung2019-08-281-0/+1
| * | | Add arrival times for UEddie Hung2019-08-281-0/+26
| * | | LX -> LPEddie Hung2019-08-281-1/+1
| * | | Round not floorEddie Hung2019-08-281-21/+21
| * | | Add LP timingsEddie Hung2019-08-281-0/+26
| * | | LX -> LPEddie Hung2019-08-281-1/+1
| * | | Do not overwrite LUT paramEddie Hung2019-08-281-1/+0
| * | | Merge remote-tracking branch 'origin/eddie/fix_carry_wrapper' into xaig_arrivalEddie Hung2019-08-282-1/+48
| |\ \ \
| * | | | Add arrival times for HX devicesEddie Hung2019-08-281-21/+114
| * | | | Specify ice40 family to cells_sim.v using defineEddie Hung2019-08-281-1/+8
| * | | | Merge remote-tracking branch 'origin/eddie/fix_carry_wrapper' into xaig_arrivalEddie Hung2019-08-285-68/+20
| |\ \ \ \
| * \ \ \ \ Merge branch 'eddie/xilinx_srl' into xaig_arrivalEddie Hung2019-08-281-15/+22
| |\ \ \ \ \
| * \ \ \ \ \ Merge remote-tracking branch 'origin/master' into xaig_arrivalEddie Hung2019-08-2813-249/+865
| |\ \ \ \ \ \
| * | | | | | | Fix spacingEddie Hung2019-08-231-1/+1
| * | | | | | | Remove unused modelEddie Hung2019-08-231-13/+0
| * | | | | | | Put attributes above portEddie Hung2019-08-232-27/+62
| * | | | | | | Merge remote-tracking branch 'origin/master' into xaig_arrivalEddie Hung2019-08-2317-102/+981
| |\ \ \ \ \ \ \
| * | | | | | | | Use semicolonEddie Hung2019-08-211-1/+1