aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs
Commit message (Expand)AuthorAgeFilesLines
* intel_alm: direct LUTRAM cell instantiationDan Ravensloft2020-05-078-52/+143
* synth_ice40/ecp5/xilinx: allow abc9.W to be overridden via scratchpadEddie Hung2020-05-043-11/+34
* gowin,ecp5: remove generated files in `make clean`.whitequark2020-04-242-2/+10
* intel_alm: cleanup duplicationDan Ravensloft2020-04-245-113/+64
* intel_alm: work around a Quartus ICEDan Ravensloft2020-04-231-0/+10
* ecp5: ecp5_gsr to skip cells that don't have GSR parameter againEddie Hung2020-04-221-1/+1
* xilinx: improve xilinx_dffopt messageEddie Hung2020-04-221-3/+6
* Cleanup use of hard-coded default parameters in light of #1945Eddie Hung2020-04-222-12/+6
* intel_alm: Documentation improvementsDan Ravensloft2020-04-213-14/+127
* Use default parameter value in getParamMarcelina Kościelnicka2020-04-211-3/+3
* ecp5: Force SIGNED ports to be 1 bitDavid Shah2020-04-161-1/+1
* Fix the truth table for $_SR_* cells.Marcelina Kościelnicka2020-04-153-26/+21
* Get rid of dffsr2dff.Marcelina Kościelnicka2020-04-159-10/+1
* synth_intel_alm: VQM supportDan Ravensloft2020-04-152-6/+3
* synth_intel_alm: alternative synthesis for Intel FPGAsDan Ravensloft2020-04-1518-1/+1453
* Merge pull request #1603 from whitequark/ice40-ram_stylewhitequark2020-04-105-2/+137
|\
| * ecp5: do not map FFRAM if explicitly requested otherwise.whitequark2020-04-031-1/+3
| * ice40: do not map FFRAM if explicitly requested otherwise.whitequark2020-04-031-1/+3
| * ecp5: add support for both 1364.1 and LSE RAM/ROM attributes.whitequark2020-02-062-0/+71
| * ice40: match memory inference attribute values case insensitive.whitequark2020-02-061-0/+1
| * ice40: add support for both 1364.1 and LSE RAM/ROM attributes.whitequark2020-02-061-0/+59
* | Merge pull request #1648 from YosysHQ/eddie/cmp2lcuEddie Hung2020-04-035-13/+121
|\ \
| * | cmp2lcu: rename _90_lcu_cmp -> _80_lcu_cmpEddie Hung2020-04-031-1/+1
| * | cmp2lcu: fail if `LUT_WIDTH < 2Eddie Hung2020-04-031-1/+1
| * | synth: only techmap cmp2{lut,lcu} if -lutEddie Hung2020-04-031-1/+1
| * | synth: use +/cmp2lcu.v in generic 'synth' tooEddie Hung2020-04-031-2/+2
| * | Cleanup +/cmp2lut.vEddie Hung2020-04-031-8/+0
| * | synth_xilinx: techmap +/cmp2lut.v and +/cmp2lcu.v in 'coarse'Eddie Hung2020-04-031-2/+1
| * | +/cmp2lcu.v to work efficiently for fully/partially constant inputsEddie Hung2020-04-031-33/+42
| * | Refactor +/cmp2lcu.v into recursive techmapEddie Hung2020-04-031-38/+65
| * | CleanupEddie Hung2020-04-031-31/+28
| * | Cleanup cmp2lcu.vEddie Hung2020-04-031-16/+16
| * | techmap +/cmp2lcu.v for decomposing arithmetic compares to $lcuEddie Hung2020-04-032-0/+84
| * | cmp2lut: comment out unused since 362f4f9Eddie Hung2020-04-031-8/+8
* | | Merge pull request #1767 from YosysHQ/eddie/idstringsEddie Hung2020-04-0216-387/+387
|\ \ \
| * | | kernel: big fat patch to use more ID::*, otherwise ID(*)Eddie Hung2020-04-0216-366/+366
| * | | kernel: use more ID::*Eddie Hung2020-04-025-26/+26
| |/ /
* / / simcells.v: Generate the fine FF cell types by a python script.Marcin Kościelnicki2020-04-022-19/+270
|/ /
* | Fix indentation in `techlibs/ice40/synth_ice40.cc`.Alberto Gonzalez2020-04-011-4/+4
* | Merge pull request #1794 from YosysHQ/dave/mince-abc9-fixDavid Shah2020-03-211-0/+1
|\ \
| * | ice40: Map unmapped 'mince' DFFs to gate levelDavid Shah2020-03-201-0/+1
* | | ice40: Fix typos in SPRAM ABC9 timing specsSylvain Munaut2020-03-201-2/+2
|/ /
* | xilinx: Mark IOBUFDS.IOB as external padMarcin Kościelnicki2020-03-202-1/+2
* | ice40: Fix SPRAM model to keep data stable if chipselect is lowSylvain Munaut2020-03-141-5/+8
* | Fix invalid verilog syntaxMiodrag Milanovic2020-03-141-1/+1
* | Merge pull request #1716 from zeldin/ecp5_fixN. Engelhardt2020-03-091-2/+0
|\ \
| * | remove unused parametersN. Engelhardt2020-03-061-3/+0
| * | ecp5: Add missing parameter to \$__ECP5_PDPW16KDMarcus Comstedt2020-02-221-0/+1
* | | ice40: fix specify for ICE40_{LP,U}Eddie Hung2020-03-051-4/+4
* | | ice40: fix implicit signal in specify, also clamp negative times to 0Eddie Hung2020-03-041-22/+22