diff options
author | Marcelina KoĆcielnicka <mwk@0x04.net> | 2020-04-11 18:36:51 +0200 |
---|---|---|
committer | Marcelina KoĆcielnicka <mwk@0x04.net> | 2020-04-15 16:22:37 +0200 |
commit | 38a0c30d65584335fee3e17f9075711853638ac3 (patch) | |
tree | 755ca0e57e007803dabc023c284d960e4c305d03 /techlibs | |
parent | 85166633bc981b02e31167134917fc0b523e8eda (diff) | |
download | yosys-38a0c30d65584335fee3e17f9075711853638ac3.tar.gz yosys-38a0c30d65584335fee3e17f9075711853638ac3.tar.bz2 yosys-38a0c30d65584335fee3e17f9075711853638ac3.zip |
Get rid of dffsr2dff.
This pass is a proper subset of opt_rmdff, which is called by opt, which
is called by every synth flow in the coarse part. Thus, it never
actually does anything and can be safely removed.
Diffstat (limited to 'techlibs')
-rw-r--r-- | techlibs/achronix/synth_achronix.cc | 1 | ||||
-rw-r--r-- | techlibs/anlogic/synth_anlogic.cc | 1 | ||||
-rw-r--r-- | techlibs/ecp5/synth_ecp5.cc | 1 | ||||
-rw-r--r-- | techlibs/efinix/synth_efinix.cc | 1 | ||||
-rw-r--r-- | techlibs/gowin/synth_gowin.cc | 1 | ||||
-rw-r--r-- | techlibs/ice40/synth_ice40.cc | 1 | ||||
-rw-r--r-- | techlibs/intel/synth_intel.cc | 1 | ||||
-rw-r--r-- | techlibs/sf2/synth_sf2.cc | 1 | ||||
-rw-r--r-- | techlibs/xilinx/synth_xilinx.cc | 3 |
9 files changed, 1 insertions, 10 deletions
diff --git a/techlibs/achronix/synth_achronix.cc b/techlibs/achronix/synth_achronix.cc index 1dc6bdb2f..262a5e700 100644 --- a/techlibs/achronix/synth_achronix.cc +++ b/techlibs/achronix/synth_achronix.cc @@ -144,7 +144,6 @@ struct SynthAchronixPass : public ScriptPass { run("opt -fast -mux_undef -undriven -fine -full"); run("memory_map"); run("opt -undriven -fine"); - run("dffsr2dff"); run("dff2dffe -direct-match $_DFF_*"); run("opt -fine"); run("techmap -map +/techmap.v"); diff --git a/techlibs/anlogic/synth_anlogic.cc b/techlibs/anlogic/synth_anlogic.cc index 96a231286..791dc922f 100644 --- a/techlibs/anlogic/synth_anlogic.cc +++ b/techlibs/anlogic/synth_anlogic.cc @@ -182,7 +182,6 @@ struct SynthAnlogicPass : public ScriptPass if (check_label("map_ffs")) { - run("dffsr2dff"); run("techmap -D NO_LUT -map +/anlogic/cells_map.v"); run("dffinit -strinit SET RESET -ff AL_MAP_SEQ q REGSET -noreinit"); run("opt_expr -mux_undef"); diff --git a/techlibs/ecp5/synth_ecp5.cc b/techlibs/ecp5/synth_ecp5.cc index 6f5790a14..ab740ea0d 100644 --- a/techlibs/ecp5/synth_ecp5.cc +++ b/techlibs/ecp5/synth_ecp5.cc @@ -298,7 +298,6 @@ struct SynthEcp5Pass : public ScriptPass if (check_label("map_ffs")) { - run("dffsr2dff"); run("dff2dffs"); run("opt_clean"); if (!nodffe) diff --git a/techlibs/efinix/synth_efinix.cc b/techlibs/efinix/synth_efinix.cc index 637d7c00d..f9a7ef865 100644 --- a/techlibs/efinix/synth_efinix.cc +++ b/techlibs/efinix/synth_efinix.cc @@ -182,7 +182,6 @@ struct SynthEfinixPass : public ScriptPass if (check_label("map_ffs")) { - run("dffsr2dff"); run("techmap -D NO_LUT -map +/efinix/cells_map.v"); run("dffinit -strinit SET RESET -ff AL_MAP_SEQ q REGSET -noreinit"); run("opt_expr -mux_undef"); diff --git a/techlibs/gowin/synth_gowin.cc b/techlibs/gowin/synth_gowin.cc index 86ec9cdc2..dd965c0b8 100644 --- a/techlibs/gowin/synth_gowin.cc +++ b/techlibs/gowin/synth_gowin.cc @@ -219,7 +219,6 @@ struct SynthGowinPass : public ScriptPass if (check_label("map_ffs")) { - run("dffsr2dff"); run("dff2dffs -match-init"); run("opt_clean"); if (!nodffe) diff --git a/techlibs/ice40/synth_ice40.cc b/techlibs/ice40/synth_ice40.cc index 463e80ee2..9724b7dd5 100644 --- a/techlibs/ice40/synth_ice40.cc +++ b/techlibs/ice40/synth_ice40.cc @@ -341,7 +341,6 @@ struct SynthIce40Pass : public ScriptPass if (check_label("map_ffs")) { - run("dffsr2dff"); if (!nodffe) run("dff2dffe -direct-match $_DFF_*"); if (min_ce_use >= 0) { diff --git a/techlibs/intel/synth_intel.cc b/techlibs/intel/synth_intel.cc index 3689df70e..8601ebb37 100644 --- a/techlibs/intel/synth_intel.cc +++ b/techlibs/intel/synth_intel.cc @@ -202,7 +202,6 @@ struct SynthIntelPass : public ScriptPass { run("opt -fast -mux_undef -undriven -fine -full"); run("memory_map"); run("opt -undriven -fine"); - run("dffsr2dff"); run("dff2dffe -direct-match $_DFF_*"); run("opt -fine"); run("techmap -map +/techmap.v"); diff --git a/techlibs/sf2/synth_sf2.cc b/techlibs/sf2/synth_sf2.cc index 5efa005c8..34a7e68be 100644 --- a/techlibs/sf2/synth_sf2.cc +++ b/techlibs/sf2/synth_sf2.cc @@ -187,7 +187,6 @@ struct SynthSf2Pass : public ScriptPass if (check_label("map_ffs")) { - run("dffsr2dff"); run("techmap -D NO_LUT -map +/sf2/cells_map.v"); run("opt_expr -mux_undef"); run("simplemap"); diff --git a/techlibs/xilinx/synth_xilinx.cc b/techlibs/xilinx/synth_xilinx.cc index ac4f5bcf4..1c190d37e 100644 --- a/techlibs/xilinx/synth_xilinx.cc +++ b/techlibs/xilinx/synth_xilinx.cc @@ -522,10 +522,9 @@ struct SynthXilinxPass : public ScriptPass } if (check_label("map_ffram")) { - // Required for dffsr2dff to work. + // Required for dff2dffs to work. run("simplemap t:$dff t:$adff t:$mux"); // Needs to be done before opt -mux_bool happens. - run("dffsr2dff"); if (help_mode) run("dff2dffs [-match-init]", "(-match-init for xc6s only)"); else if (family == "xc6s") |