aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs
Commit message (Expand)AuthorAgeFilesLines
* xilinx: Add keep attribute where appropriateDavid Shah2019-03-222-25/+31
* Add Xilinx negedge FFs to synth_xilinx dffinit call, fixes #873Clifford Wolf2019-03-191-2/+4
* Remove ice40/cells_sim.v hack to avoid warning for blocking memory writesClifford Wolf2019-03-121-19/+0
* Fix typo in ice40_braminit help msgClifford Wolf2019-03-091-1/+1
* Merge pull request #859 from smunaut/ice40_braminitClifford Wolf2019-03-094-37/+212
|\
| * ice40: Run ice40_braminit pass by defaultSylvain Munaut2019-03-081-0/+1
| * ice40: Add ice40_braminit pass to allow initialization of BRAM from fileSylvain Munaut2019-03-083-37/+211
* | Add link to SF2 / igloo2 macro library guideClifford Wolf2019-03-071-21/+24
* | Improvements in sf2 cells_sim.vClifford Wolf2019-03-062-30/+251
* | Add sf2 techmap rules for more FF typesClifford Wolf2019-03-061-25/+39
* | Refactor SF2 iobuf insertion, Add clkint insertionClifford Wolf2019-03-063-83/+152
* | Improvements in SF2 flow and demoClifford Wolf2019-03-052-8/+23
* | Merge pull request #842 from litghost/merge_upstreamClifford Wolf2019-03-0510-176/+570
|\ \
| * | Revert BRAM WRITE_MODE changes.Keith Rothman2019-03-041-12/+12
| * | Revert FF models to include IS_x_INVERTED parameters.Keith Rothman2019-03-011-6/+34
| * | Use singular for disabling of DRAM or BRAM inference.Keith Rothman2019-03-011-13/+13
| * | Modify arguments to match existing style.Keith Rothman2019-03-011-6/+6
| * | Changes required for VPR place and route synth_xilinx.Keith Rothman2019-03-0111-221/+587
* | | Merge pull request #850 from daveshah1/ecp5_warn_conflictClifford Wolf2019-03-051-2/+7
|\ \ \
| * | | ecp5: Demote conflicting FF init values to a warningDavid Shah2019-03-041-2/+7
| |/ /
* / / Use "write_edif -pvector bra" for Xilinx EDIF filesClifford Wolf2019-03-051-1/+1
|/ /
* | Fix ECP5 cells_sim for iverilogMiodrag Milanovic2019-03-011-2/+3
* | Merge pull request #836 from elmsfu/ice40_2bit_ram_rw_modeClifford Wolf2019-02-281-2/+2
|\ \
| * | ice40: use 2 bits for READ/WRITE MODE for SB_RAM mapElms2019-02-281-2/+2
| |/
* | Reduce amount of trailing whitespace in code baseLarry Doolittle2019-02-286-19/+19
* | Merge pull request #794 from daveshah1/ecp5improveClifford Wolf2019-02-287-12/+388
|\ \ | |/ |/|
| * ecp5: Compatibility with Migen AsyncResetSynchronizerDavid Shah2019-02-252-0/+20
| * ecp5: Add DDRDLLADavid Shah2019-02-191-0/+9
| * ecp5: Add DELAYF/DELAYG blackboxesDavid Shah2019-02-191-0/+18
| * ecp5: Add ECLKSYNCB blackboxDavid Shah2019-02-131-1/+7
| * ecp5: Full set of IO-related blackboxesDavid Shah2019-02-121-0/+102
| * ecp5: Support for flipflop initialisationDavid Shah2019-01-223-4/+199
| * ecp5: Add LSRMODE to flipflops for PRLD supportDavid Shah2019-01-211-7/+16
| * ecp5: More blackboxesDavid Shah2019-01-211-0/+17
| * ecp5: Increase threshold for ALU mappingDavid Shah2019-01-211-1/+1
* | techlibs/greenpak4/cells_map.v: Harmonize whitespace within lut moduleLarry Doolittle2019-02-261-22/+22
* | Clean up some whitepsace outliersLarry Doolittle2019-02-261-2/+2
* | Merge pull request #740 from daveshah1/improve_dressClifford Wolf2019-02-222-3/+3
|\ \
| * | ecp5: Use abc -dressDavid Shah2019-02-061-2/+2
| * | ice40: Use abc -dress in synth_ice40David Shah2019-02-061-1/+1
* | | Bugfix in ice40_dspClifford Wolf2019-02-212-20/+33
* | | Add ice40 test_dsp_map test case generatorClifford Wolf2019-02-202-0/+99
* | | Add "synth_ice40 -dsp"Clifford Wolf2019-02-201-3/+27
* | | Improve iCE40 SB_MAC16 modelClifford Wolf2019-02-205-121/+179
* | | Add first draft of functional SB_MAC16 modelClifford Wolf2019-02-194-53/+467
|/ /
* / Fixed Anlogic simulation modelMiodrag Milanovic2019-01-251-1/+1
|/
* Add SF2 IO buffer insertionClifford Wolf2019-01-174-1/+168
* Add "synth_sf2 -vlog", fix "synth_sf2 -edif"Clifford Wolf2019-01-171-2/+17
* Merge pull request #777 from mmicko/achronix_cell_sim_fixClifford Wolf2019-01-041-1/+1
|\
| * Fix cells_sim.v for Achronix FPGAMiodrag Milanovic2019-01-041-1/+1