aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs
Commit message (Expand)AuthorAgeFilesLines
* Improved support for $sop cellsClifford Wolf2016-06-172-4/+4
* Added $sop cell type and "abc -sop"Clifford Wolf2016-06-171-0/+28
* Added "nlutmap -assert"Clifford Wolf2016-06-091-3/+3
* Do not run "wreduce" in "prep -ifx"Clifford Wolf2016-06-081-2/+3
* Added "proc_mux -ifx"Clifford Wolf2016-06-061-2/+11
* Added GP_DELAY cellAndrew Zonenberg2016-05-071-0/+29
* Fixed typo in port nameAndrew Zonenberg2016-05-071-1/+1
* Fixed extra semicolonAndrew Zonenberg2016-05-071-1/+1
* Fixed typo in parameter nameAndrew Zonenberg2016-05-071-1/+1
* Added simulation timescale declarationAndrew Zonenberg2016-05-071-0/+2
* Added synth_ice40 support for latches via logic loopsClifford Wolf2016-05-063-0/+13
* Fixed ice40_opt lut unmapping, added "ice40_opt -unlut"Clifford Wolf2016-05-061-3/+15
* Changed order of passes for better handling of INIT attributes on "output reg...Andrew Zonenberg2016-05-041-2/+2
* Renamed module parameterAndrew Zonenberg2016-05-041-4/+4
* Refactored synth_greenpak4 to use iopadmap for mapping GP_IOBUF/GP_OBUFT cell...Andrew Zonenberg2016-05-043-18/+1
* Fixed incorrect signal naming in GP_IOBUFAndrew Zonenberg2016-05-041-2/+2
* Added tri-state I/O extraction for GreenPakAndrew Zonenberg2016-05-035-2/+29
* Added GreenPak I/O buffer cellsAndrew Zonenberg2016-05-031-0/+17
* Added comment to clarify GP_ABUF cellAndrew Zonenberg2016-05-021-0/+2
* Added GP_ABUF cellAndrew Zonenberg2016-05-021-0/+6
* Added GP_PGA cellAndrew Zonenberg2016-04-271-0/+11
* Merge https://github.com/cliffordwolf/yosysAndrew Zonenberg2016-04-242-64/+80
|\
| * Added "prep -flatten" and "synth -flatten"Clifford Wolf2016-04-242-7/+36
| * Converted "prep" to ScriptPassClifford Wolf2016-04-242-60/+47
* | Removed VIN_BUF_ENAndrew Zonenberg2016-04-241-1/+0
* | Renamed VOUT to OUT on GP_ACMP cellAndrew Zonenberg2016-04-231-1/+3
* | Added GP_ACMP cellAndrew Zonenberg2016-04-231-0/+12
|/
* Run clean after splitnets in synth_greenpak4Clifford Wolf2016-04-231-1/+1
* Merge https://github.com/azonenberg/yosysClifford Wolf2016-04-231-1/+7
|\
| * Fixed typoAndrew Zonenberg2016-04-221-1/+1
| * Merge https://github.com/cliffordwolf/yosysAndrew Zonenberg2016-04-229-12/+12
| |\
| * | Added GP_VREF cellAndrew Zonenberg2016-04-201-0/+6
* | | Added "shregmap" to synth_greenpak4Clifford Wolf2016-04-231-0/+1
* | | Converted synth_greenpak4 to ScriptPassClifford Wolf2016-04-232-111/+71
| |/ |/|
* | Added "yosys -D" featureClifford Wolf2016-04-219-12/+12
|/
* Added GP_SHREG cellAndrew Zonenberg2016-04-131-0/+23
* Refactoring: alphabetized cells_simAndrew Zonenberg2016-04-131-120/+119
* Fixed missing semicolonAndrew Zonenberg2016-04-091-1/+1
* Added GP_RCOSC cellAndrew Zonenberg2016-04-091-0/+38
* Fixed assertion failure for non-inferrable counters in some casesAndrew Zonenberg2016-04-061-2/+6
* Added second divider to GP_RINGOSCAndrew Zonenberg2016-04-061-8/+13
* Added GP_RINGOSC primitiveAndrew Zonenberg2016-04-061-0/+26
* Added GP_PORAndrew Zonenberg2016-04-041-0/+22
* Added GP_BANDGAP cellAndrew Zonenberg2016-04-041-0/+9
* Removed more debug printsAndrew Zonenberg2016-04-011-1/+0
* Removed forgotten debug codeAndrew Zonenberg2016-04-011-7/+1
* Added GreenPak inverter supportAndrew Zonenberg2016-04-013-4/+13
* Added support for inferring counters with asynchronous resets. Fixed use-afte...Andrew Zonenberg2016-04-011-51/+210
* Merge https://github.com/cliffordwolf/yosysAndrew Zonenberg2016-04-015-225/+153
|\
| * Added ScriptPass helper class for script-like passesClifford Wolf2016-03-312-215/+143