aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs
Commit message (Collapse)AuthorAgeFilesLines
...
| * | | Merging attribute rules into a single match block; Adding testsDiego H2019-12-151-18/+12
| | | |
| * | | Refactoring memory attribute matching based on IEEE 1364.1 and Tool specificDiego H2019-12-131-0/+19
| | | |
| * | | Merge pull request #1533 from dh73/bram_xilinxEddie Hung2019-12-131-6/+9
| |\ \ \ | | |/ / | |/| | Adjust Xilinx xc7/xcu BRAM min bits threshold for RAMB18E1
| | * | Fixing citation in xc7_xcu_brams.txt file. Fixing RAMB36E1 test.Diego H2019-12-121-5/+5
| | | |
| | * | Updating RAMB36E1 thresholds. Adding test for both RAMB18E1/RAMB36E1Diego H2019-12-121-2/+2
| | | |
| | * | Merge https://github.com/YosysHQ/yosys into bram_xilinxDiego H2019-12-1220-775/+1170
| | |\ \
| | * | | Adjusting Vivado's BRAM min bits threshold for RAMB18E1Diego H2019-11-271-2/+5
| | | | |
* | | | | Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-12-1213-30/+32
|\| | | |
| * | | | abc9_map.v: fix Xilinx LUTRAMEddie Hung2019-12-121-6/+6
| | |/ / | |/| |
| * | | Fix bitwidth mismatch; suppresses iverilog warningEddie Hung2019-12-111-4/+4
| | | |
| * | | Merge pull request #1564 from ZirconiumX/intel_housekeepingDavid Shah2019-12-118-6/+6
| |\ \ \ | | | | | | | | | | Intel housekeeping
| | * | | synth_intel: a10gx -> arria10gxDan Ravensloft2019-12-105-4/+4
| | | | |
| | * | | synth_intel: cyclone10 -> cyclone10lpDan Ravensloft2019-12-105-4/+4
| | | |/ | | |/|
| * | | Merge pull request #1545 from YosysHQ/eddie/ice40_wrapcarry_attrEddie Hung2019-12-094-20/+22
| |\ \ \ | | |/ / | |/| | Preserve SB_CARRY name and attributes when using $__ICE40_CARRY_WRAPPER
| | * | ice40_opt to restore attributes/name when unwrappingEddie Hung2019-12-091-0/+15
| | | |
| | * | Sensitive to direct inst of $__ICE40_CARRY_WRAPPER; recreate SB_LUT4Eddie Hung2019-12-091-1/+1
| | | |
| | * | ice40_wrapcarry to really preserve attributes via -unwrap optionEddie Hung2019-12-092-19/+1
| | | |
| | * | $__ICE40_CARRY_WRAPPER to use _TECHMAP_REPLACE_ for SB_CARRY to preserveEddie Hung2019-12-031-1/+1
| | | | | | | | | | | | | | | | name and attr
| | * | ice40_opt to ignore (* keep *) -ed cellsEddie Hung2019-12-031-0/+5
| | | |
* | | | abc9_map.v: fix Xilinx LUTRAMEddie Hung2019-12-121-6/+6
| | | |
* | | | Fix commentEddie Hung2019-12-091-1/+1
| | | |
* | | | Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-12-067-745/+1138
|\| | |
| * | | xilinx: Add tristate buffer mapping. (#1528)Marcin Kościelnicki2019-12-042-9/+16
| | | | | | | | | | | | Fixes #1225.
| * | | xilinx: Add models for LUTRAM cells. (#1537)Marcin Kościelnicki2019-12-043-624/+831
| |/ /
| * | Merge pull request #1524 from pepijndevos/gowindffinitClifford Wolf2019-12-032-112/+270
| |\ \ | | | | | | | | Gowin: add and test DFF init values
| | * | Use -match-init to not synth contradicting init valuesPepijn de Vos2019-12-031-1/+1
| | | |
| | * | attempt to fix formattingPepijn de Vos2019-11-251-154/+154
| | | |
| | * | gowin: add and test dff init valuesPepijn de Vos2019-11-252-41/+199
| | | |
| * | | xilinx: Add missing blackbox cell for BUFPLL.Marcin Kościelnicki2019-11-292-0/+21
| | |/ | |/|
* | | Remove creation of $abc9_control_wireEddie Hung2019-12-061-16/+6
| | |
* | | abc9 to use mergeability class to differentiate sync/asyncEddie Hung2019-12-061-12/+15
| | |
* | | Remove clkpartEddie Hung2019-12-051-4/+0
| | |
* | | Revert "Special abc9_clock wire to contain only clock signal"Eddie Hung2019-12-051-10/+12
| | | | | | | | | | | | This reverts commit 6a2eb5d8f9286b9574647c03e2bdc8b63fccbe4d.
* | | Missing wire declarationEddie Hung2019-12-041-0/+1
| | |
* | | abc9_map.v to transform INIT=1 to INIT=0Eddie Hung2019-12-041-118/+201
| | |
* | | Oh deary meEddie Hung2019-12-041-4/+4
| | |
* | | output reg Q -> output Q to suppress warningEddie Hung2019-12-041-8/+8
| | |
* | | abc9_map.v to do `zinit' and make INIT = 1'b0Eddie Hung2019-12-041-70/+112
| | |
* | | Add abc9_init wire, attach to abc9_flop cellEddie Hung2019-12-031-2/+12
| | |
* | | Revert "Add INIT value to abc9_control"Eddie Hung2019-12-031-8/+8
| | | | | | | | | | | | This reverts commit 19bfb4195818be12e6fb962de29ca32444498c22.
* | | techmap abc_unmap.v before xilinx_srl -fixedEddie Hung2019-12-031-6/+5
| | |
* | | Add INIT value to abc9_controlEddie Hung2019-12-021-8/+8
| | |
* | | clkpart -unpart into 'finalize'Eddie Hung2019-11-281-3/+4
| | |
* | | ean call after abc{,9}Eddie Hung2019-11-271-1/+2
| | |
* | | Merge remote-tracking branch 'origin/eddie/write_xaiger_improve' into xaig_dffEddie Hung2019-11-273-25/+30
|\| |
| * | xilinx: Add simulation models for IOBUF and OBUFT.Marcin Kościelnicki2019-11-263-25/+30
| | |
* | | Move 'clean' from map_luts to finalizeEddie Hung2019-11-261-1/+1
| | |
* | | Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-11-253-5/+11
|\| |
| * | clkbufmap: Add support for inverters in clock path.Marcin Kościelnicki2019-11-251-1/+5
| | |
| * | xilinx: Use INV instead of LUT1 when applicableMarcin Kościelnicki2019-11-251-2/+6
| |/