aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/xilinx/cells_sim.v
Commit message (Expand)AuthorAgeFilesLines
* Merge remote-tracking branch 'origin/xaig_dff' into eddie/abc9_refactorEddie Hung2019-12-301-46/+54
|\
| * Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-12-301-3/+3
| |\
| * \ Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-12-201-24/+10
| |\ \
| * \ \ Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-12-201-4/+197
| |\ \ \
| * | | | Split into $__ABC9_ASYNC[01], do not add cell->type to clkdomain_tEddie Hung2019-12-191-8/+8
| * | | | Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-12-191-12/+47
| |\ \ \ \
| * \ \ \ \ Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-12-061-0/+797
| |\ \ \ \ \
| * | | | | | Oh deary meEddie Hung2019-12-041-4/+4
| * | | | | | Merge remote-tracking branch 'origin/eddie/write_xaiger_improve' into xaig_dffEddie Hung2019-11-271-0/+28
| |\ \ \ \ \ \
| * \ \ \ \ \ \ Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-11-251-1/+5
| |\ \ \ \ \ \ \
| * \ \ \ \ \ \ \ Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-11-191-0/+522
| |\ \ \ \ \ \ \ \
| * | | | | | | | | Do not require changes to cells_sim.v; try and work out comb modelEddie Hung2019-10-051-208/+16
| * | | | | | | | | Merge remote-tracking branch 'origin/eddie/abc_to_abc9' into xaig_dffEddie Hung2019-10-041-47/+47
| |\ \ \ \ \ \ \ \ \
| * | | | | | | | | | More fixesEddie Hung2019-10-011-16/+16
| * | | | | | | | | | Escape Verilog identifiers for legality outside of YosysEddie Hung2019-10-011-48/+48
| * | | | | | | | | | Remove need for $currQ port connectionEddie Hung2019-09-301-80/+80
| * | | | | | | | | | Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-09-301-0/+44
| |\ \ \ \ \ \ \ \ \ \
| * \ \ \ \ \ \ \ \ \ \ Merge remote-tracking branch 'origin/master' into xaig_dffEddie Hung2019-09-291-0/+463
| |\ \ \ \ \ \ \ \ \ \ \
| * | | | | | | | | | | | FDCE_1 does not have IS_CLR_INVERTEDEddie Hung2019-09-291-1/+1
| * | | | | | | | | | | | Big rework; flop info now mostly in cells_sim.vEddie Hung2019-09-281-47/+247
* | | | | | | | | | | | | Update timings for Xilinx S7 cellsEddie Hung2019-12-301-15/+35
| |_|_|_|_|_|_|_|_|_|_|/ |/| | | | | | | | | | |
* | | | | | | | | | | | xilinx: Test our DSP48A/DSP48A1 simulation models.Marcin Kościelnicki2019-12-231-3/+3
| |_|_|_|_|_|_|_|_|_|/ |/| | | | | | | | | |
* | | | | | | | | | | Add abc9_arrival times for RAM{32,64}MEddie Hung2019-12-201-24/+10
| |_|_|_|_|_|_|_|_|/ |/| | | | | | | | |
* | | | | | | | | | xilinx: Add simulation models for remaining CLB primitives.Marcin Kościelnicki2019-12-191-4/+197
| |_|_|_|_|_|_|_|/ |/| | | | | | | |
* | | | | | | | | xilinx: Add xilinx_dffopt pass (#1557)Marcin Kościelnicki2019-12-181-0/+35
* | | | | | | | | RAM64M8 to also have [5:0] for addressEddie Hung2019-12-131-8/+8
* | | | | | | | | Fix RAM64M model to have 6 bit address busEddie Hung2019-12-121-4/+4
| |_|_|_|_|_|_|/ |/| | | | | | |
* | | | | | | | xilinx: Add models for LUTRAM cells. (#1537)Marcin Kościelnicki2019-12-041-0/+797
| |_|_|_|_|_|/ |/| | | | | |
* | | | | | | xilinx: Add simulation models for IOBUF and OBUFT.Marcin Kościelnicki2019-11-261-0/+28
| |_|_|_|_|/ |/| | | | |
* | | | | | clkbufmap: Add support for inverters in clock path.Marcin Kościelnicki2019-11-251-1/+5
| |_|_|_|/ |/| | | |
* | | | | xilinx: Add simulation models for MULT18X18* and DSP48A*.Marcin Kościelnicki2019-11-191-0/+511
* | | | | xilinx: Add simulation model for IBUFG.Marcin Kościelnicki2019-10-101-0/+11
| |_|_|/ |/| | |
* | | | Rename abc_* names/attributes to more precisely be abc9_*Eddie Hung2019-10-041-19/+19
| |_|/ |/| |
* | | Add LDCE/LDPE sim library, remove from *cells_xtra.{v,py}Eddie Hung2019-09-301-0/+44
| |/ |/|
* | Merge remote-tracking branch 'origin/clifford/fix1381' into xc7dspEddie Hung2019-09-191-8/+44
|\|
| * Use extractinv for synth_xilinx -iseMarcin Kościelnicki2019-09-191-8/+44
* | Mis-spellEddie Hung2019-09-181-10/+25
* | Add pattern detection support for DSP48E1 model, check against vendorEddie Hung2019-09-181-4/+43
* | Merge remote-tracking branch 'origin/master' into xc7dspEddie Hung2019-09-051-26/+70
|\|
| * Remove trailing spaceEddie Hung2019-08-301-2/+2
| * Merge remote-tracking branch 'origin/master' into xaig_arrivalEddie Hung2019-08-281-15/+78
| |\
| * | Put attributes above portEddie Hung2019-08-231-19/+46
| * | Merge remote-tracking branch 'origin/master' into xaig_arrivalEddie Hung2019-08-231-5/+10
| |\ \
| * | | Add abc_arrival to SRL*Eddie Hung2019-08-211-3/+5
| * | | OopsEddie Hung2019-08-201-1/+1
| * | | xilinx to use abc_map.v with -max_iter 1Eddie Hung2019-08-201-3/+6
| * | | Add reference to FD* timingEddie Hung2019-08-201-0/+2
| * | | Remove sequential extensionEddie Hung2019-08-201-8/+16
| * | | Remove SRL* delays from cells_sim.vEddie Hung2019-08-201-5/+3
| * | | Wrap LUTRAMs in order to capture comb/seq behaviourEddie Hung2019-08-201-15/+9