aboutsummaryrefslogtreecommitdiffstats
path: root/passes/opt
Commit message (Expand)AuthorAgeFilesLines
* RTLIL::S{0,1} -> State::S{0,1}Eddie Hung2019-08-071-1/+1
* Merge remote-tracking branch 'origin/master' into eddie/cleanupEddie Hung2019-08-072-3/+28
|\
| * Move LSB-trimming functionality from wreduce to opt_exprEddie Hung2019-08-062-23/+26
| * Merge remote-tracking branch 'origin/master' into eddie/wreduce_addEddie Hung2019-08-062-5/+5
| |\
| * | Try and fix againEddie Hung2019-07-191-5/+4
| * | Do not access beyond boundsEddie Hung2019-07-191-1/+1
| * | Wrap A and B in sigmapEddie Hung2019-07-191-2/+2
| * | Remove "top" from messageEddie Hung2019-07-191-1/+1
| * | Also optimise MSB of $subEddie Hung2019-07-191-3/+3
| * | wreduce for $subEddie Hung2019-07-191-0/+23
* | | stoi -> atoiEddie Hung2019-08-073-5/+5
* | | Use std::stoi instead of atoi(<str>.c_str())Eddie Hung2019-08-063-5/+5
* | | Use IdString::begins_with()Eddie Hung2019-08-061-8/+8
* | | Make liberal use of IdString.in()Eddie Hung2019-08-064-10/+10
* | | Cleanup opt_expr.ccEddie Hung2019-08-061-35/+30
| |/ |/|
* | Fix formatting for msys2 mingw build using GetSizeMiodrag Milanovic2019-08-012-5/+5
|/
* opt_lut: make less chatty.whitequark2019-07-131-56/+38
* Revert "Add "synth -keepdc" option"Eddie Hung2019-07-091-1/+1
* Clarify 'wreduce -keepdc' docEddie Hung2019-07-081-1/+1
* Merge pull request #1046 from bogdanvuk/masterClifford Wolf2019-06-282-11/+106
|\
| * Add help for "-sat" option inside opt_rmdff. "opt" can pass "-sat" tooBogdan Vukobratovic2019-06-272-2/+10
| * Fix memory leak when one of multiple DFF cells is removed in opt_rmdffBogdan Vukobratovic2019-06-271-0/+5
| * Merge remote-tracking branch 'upstream/master'Bogdan Vukobratovic2019-06-273-3/+372
| |\
| * | Refactor "opt_rmdff -sat"Clifford Wolf2019-06-202-359/+46
| * | Move netlist helper module to passes/opt for the time beingBogdan Vukobratovic2019-06-142-1/+318
| * | Merge remote-tracking branch 'upstream/master'Bogdan Vukobratovic2019-06-142-3/+3
| |\ \
| * | | Prepare for situation when port of the signal cannot be foundBogdan Vukobratovic2019-06-141-1/+1
| * | | Some cleanup, revert sat.ccBogdan Vukobratovic2019-06-141-7/+10
| * | | Implement disconnection of constant register bitsBogdan Vukobratovic2019-06-131-12/+24
| * | | Rename satgen_algo.h -> algo.h, code cleanup and refactoringBogdan Vukobratovic2019-06-121-73/+22
| * | | Generate satgen instance instead of calling sat passBogdan Vukobratovic2019-06-111-23/+85
| * | | Optimizing DFFs whose initial value prevents their value from changingBogdan Vukobratovic2019-05-281-3/+55
* | | | Add "pmux2shiftx -norange", fixes #1135Clifford Wolf2019-06-271-3/+11
| |_|/ |/| |
* | | Improve opt_clean handling of unused public wiresClifford Wolf2019-06-261-2/+2
* | | Do not clean up buffer cells with "keep" attribute, closes #1128Clifford Wolf2019-06-261-1/+1
* | | Cope with $reduce_or common in caseEddie Hung2019-06-211-5/+37
* | | Fix spacingEddie Hung2019-06-211-24/+24
* | | Add docEddie Hung2019-06-211-3/+3
* | | Fix up ExclusiveDatabase with @cliffordwolf's helpEddie Hung2019-06-211-35/+34
* | | Elaborate muxpack docEddie Hung2019-06-101-2/+6
* | | Comment O(N) -> O(N^2)Eddie Hung2019-06-071-1/+1
* | | Extend ExclusiveDatabase to query SigSpec-s (for $pmux)Eddie Hung2019-06-071-19/+27
* | | Add ExclusiveDatabase to check exclusive $eq/$logic_not cell resultsEddie Hung2019-06-071-1/+64
* | | Resolve @cliffordwolf comment on redundant checkEddie Hung2019-06-071-10/+2
* | | Resolve @cliffordwolf comment on sigmapEddie Hung2019-06-071-2/+2
* | | Fix and test for balanced caseEddie Hung2019-06-061-10/+14
* | | Support cascading $pmux.A with $mux.A and $mux.BEddie Hung2019-06-061-17/+25
* | | More cleanupEddie Hung2019-06-061-15/+20
* | | Fix spacingEddie Hung2019-06-061-6/+5
* | | Non chain user check using next_sigEddie Hung2019-06-061-7/+5