aboutsummaryrefslogtreecommitdiffstats
path: root/frontends
Commit message (Expand)AuthorAgeFilesLines
* Support packed arrays in struct/union.Peter Crozier2020-06-072-17/+136
* Merge pull request #2041 from PeterCrozier/structclairexen2020-06-046-204/+526
|\
| * Merge branch 'master' into structPeter Crozier2020-06-038-53/+95
| |\
| * | Allow structs within structs.Peter Crozier2020-05-121-7/+18
| * | Generalise structs and add support for packed unions.Peter Crozier2020-05-126-58/+147
| * | Implement SV structs.Peter Crozier2020-05-086-205/+427
* | | Merge pull request #2077 from YosysHQ/eddie/abc9_dff_improveEddie Hung2020-06-041-2/+5
|\ \ \
| * | | aiger: cleanupEddie Hung2020-05-251-2/+5
* | | | Merge pull request #2006 from jersey99/signed-in-rtlil-wirewhitequark2020-06-043-1/+10
|\ \ \ \ | |_|_|/ |/| | |
| * | | frontends/json/jsonparse.cc: Like the upto field read_json can also read the ...Vamsi K Vytla2020-04-271-1/+6
| * | | Preserve 'signed'-ness of a verilog wire through RTLILVamsi K Vytla2020-04-272-0/+4
* | | | Support asymmetric memories for verific frontendMiodrag Milanovic2020-06-011-6/+1
* | | | Merge pull request #2029 from whitequark/fix-simplify-memory-sv_logicclairexen2020-05-291-2/+2
|\ \ \ \
| * | | | ast/simplify: don't bitblast async ROMs declared as `logic`.whitequark2020-05-051-2/+2
* | | | | Merge pull request #2097 from whitequark/ilang_lexer-fix-erangewhitequark2020-05-291-1/+3
|\ \ \ \ \
| * | | | | ilang_lexer: fix check for out of range literal.whitequark2020-05-291-1/+3
* | | | | | Merge pull request #2033 from boqwxp/cleanup-verilog-lexerwhitequark2020-05-291-6/+5
|\ \ \ \ \ \ | |/ / / / / |/| | | | |
| * | | | | verilog: Move lexer location variables from global namespace to `VERILOG_FRON...Alberto Gonzalez2020-05-061-6/+5
* | | | | | Silence spurious warning in Verilog lexer when compiling with GCCRupert Swarbrick2020-05-261-1/+3
* | | | | | verilog: move attr from simple_behav_stmt to its children to attachEddie Hung2020-05-251-13/+17
* | | | | | verilog: do not warn for attributes on null statementsEddie Hung2020-05-251-2/+0
* | | | | | verilog: handle empty generate statement by removing gen_stmt_or_null...Eddie Hung2020-05-251-7/+8
* | | | | | verilog: fix #2037 by permitting (and freeing) attributes on null stmtEddie Hung2020-05-251-1/+5
| |_|_|/ / |/| | | |
* | | | | Merge pull request #2057 from YosysHQ/eddie/fix_task_attrEddie Hung2020-05-211-11/+9
|\ \ \ \ \
| * | | | | Update frontends/verilog/verilog_parser.yEddie Hung2020-05-211-1/+1
| * | | | | verilog: attributes before task enable (but 13 s/r conflicts)Eddie Hung2020-05-141-10/+8
| | |_|_|/ | |/| | |
* | | | | Add force_downto and force_upto wire attributes.Marcelina Koƛcielnicka2020-05-192-1/+20
* | | | | Merge pull request #1926 from YosysHQ/eddie/abc9_auto_dffEddie Hung2020-05-182-4/+12
|\ \ \ \ \
| * | | | | aiger: -xaiger to return $_FF_ flopsEddie Hung2020-05-141-15/+2
| * | | | | aiger/xaiger: use odd for negedge clk, even for posedgeEddie Hung2020-05-141-4/+3
| * | | | | aiger: -xaiger to parse initial state back into (* init *) on Q wireEddie Hung2020-05-141-1/+2
| * | | | | aiger: -xaiger to read $_DFF_[NP]_ back with new clocks createdEddie Hung2020-05-142-3/+24
* | | | | | Revert "Add support for non-power-of-two mem chunks in verific importer"Claire Wolf2020-05-171-12/+2
|/ / / / /
* | | | | Merge pull request #2045 from YosysHQ/eddie/fix2042Eddie Hung2020-05-141-1/+13
|\ \ \ \ \
| * | | | | verilog: default to input in sv mode if task/func has no dir ...Eddie Hung2020-05-131-2/+10
| * | | | | verilog: error out when non-ANSI task/func argumentsEddie Hung2020-05-111-1/+5
| |/ / / /
* | | | | Merge pull request #2052 from YosysHQ/claire/verific_memfixClaire Wolf2020-05-141-2/+12
|\ \ \ \ \
| * | | | | Add support for non-power-of-two mem chunks in verific importerClaire Wolf2020-05-141-2/+12
| |/ / / /
* | | | | Merge pull request #2027 from YosysHQ/eddie/verilog_neg_uptoClaire Wolf2020-05-141-1/+1
|\ \ \ \ \ | |/ / / / |/| | | |
| * | | | ast: swap range regardless of range_left >= 0Eddie Hung2020-05-041-1/+1
* | | | | Merge pull request #2022 from Xiretza/fallthroughswhitequark2020-05-082-4/+5
|\ \ \ \ \
| * | | | | Add YS_FALLTHROUGH macro to mark case fall-throughXiretza2020-05-072-4/+5
* | | | | | Merge pull request #2005 from YosysHQ/claire/fix1990Claire Wolf2020-05-075-16/+82
|\ \ \ \ \ \ | |/ / / / / |/| | | | |
| * | | | | Fix handling of signed indices in bit slicesClaire Wolf2020-05-021-3/+8
| * | | | | Add AST_SELFSZ and improve handling of bit slicesClaire Wolf2020-05-025-7/+22
| * | | | | Add "nowrshmsk" attribute, fix shift-and-mask bit slice write for signed offs...Claire Wolf2020-05-024-7/+53
* | | | | | Merge pull request #2028 from zachjs/masterEddie Hung2020-05-061-1/+6
|\ \ \ \ \ \ | |_|_|/ / / |/| | | | |
| * | | | | verilog: allow null gen-if then blockZachary Snow2020-05-061-1/+6
| | |/ / / | |/| | |
* | | | | Merge pull request #2025 from YosysHQ/eddie/frontend_cleanupEddie Hung2020-05-056-31/+31
|\ \ \ \ \
| * | | | | frontend: cleanup to use more ID::*, more dict<> instead of map<>Eddie Hung2020-05-046-31/+31
| |/ / / /