aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/ast
Commit message (Expand)AuthorAgeFilesLines
...
| * | allow enums to be declared at toplevel scopeJeff Wang2020-01-161-0/+7
| * | partial rebase of PeterCrozier's enum work onto current masterJeff Wang2020-01-164-16/+117
* | | Modified $readmem[hb] to use '\' or '/' according the OSRodrigo Alejandro Melo2020-02-061-1/+6
* | | Merge branch 'master' of https://github.com/YosysHQ/yosysRodrigo Alejandro Melo2020-02-032-93/+110
|\ \ \ | | |/ | |/|
| * | ast: Add support for $sformatf system functionDavid Shah2020-01-192-93/+110
| |/
* | Replaced strlen by GetSize into simplify.ccRodrigo Alejandro Melo2020-02-031-2/+2
* | Fixed a bug in the new feature of $readmem[hb] when an empty string is providedRodrigo Alejandro Melo2020-02-011-1/+1
* | Modified the new search for files of $readmem[hb] to be backward compatibleRodrigo Alejandro Melo2020-01-311-3/+7
* | $readmem[hb] file inclusion is now relative to the Verilog fileRodrigo Alejandro Melo2020-01-311-1/+2
|/
* Stray log_dumpEddie Hung2019-12-111-1/+0
* Preserve size of $genval$-s in for loopsEddie Hung2019-12-111-0/+17
* Use "(id)" instead of "id" for types as temporary hackClifford Wolf2019-10-144-9/+118
|\
| * frontends/ast: code styleDavid Shah2019-10-031-2/+1
| * sv: Fix typedefs in blocksDavid Shah2019-10-031-2/+2
| * sv: Add support for memories of a typedefDavid Shah2019-10-031-6/+20
| * sv: Add support for memory typedefsDavid Shah2019-10-031-2/+15
| * sv: Fix typedefs in packagesDavid Shah2019-10-031-4/+10
| * sv: Fix typedef parametersDavid Shah2019-10-031-2/+31
| * sv: Switch parser to glr, prep for typedefDavid Shah2019-10-034-7/+55
* | Fix for svinterfacesEddie Hung2019-09-301-2/+8
* | module->derive() to be lazy and not touch ast if already derivedEddie Hung2019-09-302-33/+51
|/
* Fix handling of read_verilog config in AstModule::reprocess_module(), fixes #...Clifford Wolf2019-09-202-18/+30
* Fix handling of range selects on loop variables, fixes #1372Clifford Wolf2019-09-161-2/+9
* Merge pull request #1350 from YosysHQ/clifford/fixsby59Clifford Wolf2019-09-051-7/+18
|\
| * Properly construct $live and $fair cells from "if (...) assume/assert (s_even...Clifford Wolf2019-09-021-7/+18
* | Remove newlineEddie Hung2019-08-291-1/+0
* | Restore non-deferred code, deferred case to ignore non constant attrEddie Hung2019-08-291-5/+12
* | read_verilog -defer should still populate module attributesEddie Hung2019-08-281-5/+6
|/
* Do not propagate mem2reg attribute through to resultEddie Hung2019-08-221-1/+2
* mem2reg to preserve user attributes and srcEddie Hung2019-08-211-0/+4
* handle real values when deriving ast modulesJakob Wenzel2019-08-191-1/+4
* Revert "Merge pull request #1280 from YosysHQ/revert-1266-eddie/ice40_full_ad...Eddie Hung2019-08-121-1/+1
* Revert "Wrap SB_LUT+SB_CARRY into $__ICE40_CARRY_WRAPPER"David Shah2019-08-101-1/+1
* Merge pull request #1258 from YosysHQ/eddie/cleanupClifford Wolf2019-08-103-14/+14
|\
| * substr() -> compare()Eddie Hung2019-08-073-6/+6
| * RTLIL::S{0,1} -> State::S{0,1}Eddie Hung2019-08-071-7/+7
| * Merge remote-tracking branch 'origin/master' into eddie/cleanupEddie Hung2019-08-071-15/+2
| |\
| * | IdString::str().substr() -> IdString::substr()Eddie Hung2019-08-061-1/+1
* | | Allow whitebox modules to be overwrittenEddie Hung2019-08-071-1/+1
| |/ |/|
* | Fix handling of functions/tasks without top-level begin-end block, fixes #1231Clifford Wolf2019-08-061-15/+2
|/
* initialize noblackbox and nowb in AstModule::cloneJakob Wenzel2019-07-221-0/+2
* genrtlil: emit \src attribute on CaseRule.whitequark2019-07-081-0/+1
* Add "read_verilog -pwires" feature, closes #1106Clifford Wolf2019-06-193-6/+29
* Fixes and cleanups in AST_TECALL handlingClifford Wolf2019-06-073-46/+34
* Merge branch 'pr_elab_sys_tasks' of https://github.com/udif/yosys into cliffo...Clifford Wolf2019-06-074-4/+49
|\
| * Initial implementation of elaboration system tasksUdi Finkelstein2019-05-034-4/+49
* | Merge branch 'master' into wandworStefan Biereigel2019-05-273-5/+28
|\ \
| * \ Merge pull request #1044 from mmicko/invalid_width_rangeClifford Wolf2019-05-271-1/+2
| |\ \
| | * | Give error instead of asserting for invalid range, fixes #947Miodrag Milanovic2019-05-271-1/+2
| * | | Added support for unsized constants, fixes #1022Miodrag Milanovic2019-05-273-4/+26
| |/ /