aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/ast
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2019-06-07 12:41:09 +0200
committerClifford Wolf <clifford@clifford.at>2019-06-07 12:41:09 +0200
commit211d85cfcc1ae701bb9392347bcbb9750e3045b0 (patch)
treeba04c46a5db3cf2f41ca6545d1f6e930b354369c /frontends/ast
parenta3bbc5365bc8ed411ab437a6baea5596531933a8 (diff)
downloadyosys-211d85cfcc1ae701bb9392347bcbb9750e3045b0.tar.gz
yosys-211d85cfcc1ae701bb9392347bcbb9750e3045b0.tar.bz2
yosys-211d85cfcc1ae701bb9392347bcbb9750e3045b0.zip
Fixes and cleanups in AST_TECALL handling
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Diffstat (limited to 'frontends/ast')
-rw-r--r--frontends/ast/ast.h1
-rw-r--r--frontends/ast/genrtlil.cc32
-rw-r--r--frontends/ast/simplify.cc47
3 files changed, 34 insertions, 46 deletions
diff --git a/frontends/ast/ast.h b/frontends/ast/ast.h
index 93997ab86..b8cde060e 100644
--- a/frontends/ast/ast.h
+++ b/frontends/ast/ast.h
@@ -234,7 +234,6 @@ namespace AST
bool mem2reg_check(pool<AstNode*> &mem2reg_set);
void mem2reg_remove(pool<AstNode*> &mem2reg_set, vector<AstNode*> &delnodes);
void meminfo(int &mem_width, int &mem_size, int &addr_bits);
- bool check_elab_tasks(void);
// additional functionality for evaluating constant functions
struct varinfo_t { RTLIL::Const val; int offset; bool is_signed; };
diff --git a/frontends/ast/genrtlil.cc b/frontends/ast/genrtlil.cc
index 60a087282..32ed401eb 100644
--- a/frontends/ast/genrtlil.cc
+++ b/frontends/ast/genrtlil.cc
@@ -858,7 +858,6 @@ RTLIL::SigSpec AstNode::genRTLIL(int width_hint, bool sign_hint)
case AST_GENVAR:
case AST_GENFOR:
case AST_GENBLOCK:
- case AST_TECALL:
case AST_GENIF:
case AST_GENCASE:
case AST_PACKAGE:
@@ -1576,6 +1575,37 @@ RTLIL::SigSpec AstNode::genRTLIL(int width_hint, bool sign_hint)
delete always;
} break;
+ case AST_TECALL: {
+ int sz = children.size();
+ if (str == "$info") {
+ if (sz > 0)
+ log_file_info(filename, linenum, "%s.\n", children[0]->str.c_str());
+ else
+ log_file_info(filename, linenum, "\n");
+ } else if (str == "$warning") {
+ if (sz > 0)
+ log_file_warning(filename, linenum, "%s.\n", children[0]->str.c_str());
+ else
+ log_file_warning(filename, linenum, "\n");
+ } else if (str == "$error") {
+ if (sz > 0)
+ log_file_error(filename, linenum, "%s.\n", children[0]->str.c_str());
+ else
+ log_file_error(filename, linenum, "\n");
+ } else if (str == "$fatal") {
+ // TODO: 1st parameter, if exists, is 0,1 or 2, and passed to $finish()
+ // if no parameter is given, default value is 1
+ // dollar_finish(sz ? children[0] : 1);
+ // perhaps create & use log_file_fatal()
+ if (sz > 0)
+ log_file_error(filename, linenum, "FATAL: %s.\n", children[0]->str.c_str());
+ else
+ log_file_error(filename, linenum, "FATAL.\n");
+ } else {
+ log_file_error(filename, linenum, "Unknown elabortoon system task '%s'.\n", str.c_str());
+ }
+ } break;
+
case AST_FCALL: {
if (str == "\\$anyconst" || str == "\\$anyseq" || str == "\\$allconst" || str == "\\$allseq")
{
diff --git a/frontends/ast/simplify.cc b/frontends/ast/simplify.cc
index 66fd243d3..e947125bf 100644
--- a/frontends/ast/simplify.cc
+++ b/frontends/ast/simplify.cc
@@ -1146,8 +1146,7 @@ bool AstNode::simplify(bool const_fold, bool at_zero, bool in_lvalue, int stage,
if (type == AST_GENFOR) {
for (size_t i = 0; i < buf->children.size(); i++) {
- if (!buf->children[i]->check_elab_tasks())
- buf->children[i]->simplify(false, false, false, stage, -1, false, false);
+ buf->children[i]->simplify(false, false, false, stage, -1, false, false);
current_ast_mod->children.push_back(buf->children[i]);
}
} else {
@@ -1260,8 +1259,7 @@ bool AstNode::simplify(bool const_fold, bool at_zero, bool in_lvalue, int stage,
}
for (size_t i = 0; i < buf->children.size(); i++) {
- if (!buf->children[i]->check_elab_tasks())
- buf->children[i]->simplify(false, false, false, stage, -1, false, false);
+ buf->children[i]->simplify(false, false, false, stage, -1, false, false);
current_ast_mod->children.push_back(buf->children[i]);
}
@@ -1340,8 +1338,7 @@ bool AstNode::simplify(bool const_fold, bool at_zero, bool in_lvalue, int stage,
}
for (size_t i = 0; i < buf->children.size(); i++) {
- if (!buf->children[i]->check_elab_tasks())
- buf->children[i]->simplify(false, false, false, stage, -1, false, false);
+ buf->children[i]->simplify(false, false, false, stage, -1, false, false);
current_ast_mod->children.push_back(buf->children[i]);
}
@@ -2971,44 +2968,6 @@ static void mark_memories_assign_lhs_complex(dict<AstNode*, pool<std::string>> &
}
}
-// handle $info(), $warning(), $error(), $fatal()
-// we don't do that in simplify() because we don't know
-bool AstNode::check_elab_tasks(void)
-{
- if (type == AST_TECALL) {
- int sz = children.size();
- if (str == "$info") {
- if (sz > 0)
- log_file_info(filename, linenum, "%s.\n", children[0]->str.c_str());
- else
- log_file_info(filename, linenum, "\n");
- } else if (str == "$warning") {
- if (sz > 0)
- log_file_warning(filename, linenum, "%s.\n", children[0]->str.c_str());
- else
- log_file_warning(filename, linenum, "\n");
- } else if (str == "$error") {
- if (sz > 0)
- log_file_error(filename, linenum, "%s.\n", children[0]->str.c_str());
- else
- log_file_error(filename, linenum, "\n");
- } else if (str == "$fatal") {
- // TODO: 1st parameter, if exists, is 0,1 or 2, and passed to $finish()
- // if no parameter is given, default value is 1
- // dollar_finish(sz ? children[0] : 1);
- // perhaps create & use log_file_fatal()
- if (sz > 0)
- log_file_error(filename, linenum, "FATAL: %s.\n", children[0]->str.c_str());
- else
- log_file_error(filename, linenum, "FATAL.\n");
- } else {
- log_file_error(filename, linenum, "Unknown elabortoon system task '%s'.\n", str.c_str());
- }
- return true;
- }
- return false;
-
-}
// find memories that should be replaced by registers
void AstNode::mem2reg_as_needed_pass1(dict<AstNode*, pool<std::string>> &mem2reg_places,
dict<AstNode*, uint32_t> &mem2reg_candidates, dict<AstNode*, uint32_t> &proc_flags, uint32_t &flags)