aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/ast
Commit message (Expand)AuthorAgeFilesLines
* Add "nowrshmsk" attribute, fix shift-and-mask bit slice write for signed offs...Claire Wolf2020-05-024-7/+53
* Clear current_scope when done with RTLIL generation, fixes #1837Claire Wolf2020-04-221-0/+4
* ilang, ast: Store parameter order and default value information.Marcelina Koƛcielnicka2020-04-212-3/+4
* Merge pull request #1851 from YosysHQ/claire/bitselwriteClaire Wolf2020-04-214-15/+207
|\
| * Make mask-and-shift the default for bitselwriteClaire Wolf2020-04-161-1/+1
| * Add LookaheadRewriter for proper bitselwrite supportClaire Wolf2020-04-164-4/+144
| * Improved rewrite code for writing to bit slice (disabled for now)Claire Wolf2020-04-151-12/+64
* | Merge pull request #1961 from whitequark/paramod-original-namewhitequark2020-04-211-0/+3
|\ \
| * | ast, rpc: record original name of $paramod\* as \hdlname attribute.whitequark2020-04-181-0/+3
* | | Extend support for format strings in Verilog front-endClaire Wolf2020-04-181-8/+38
|/ /
* / ast: Fix handling of identifiers in the global scopeDavid Shah2020-04-162-2/+7
|/
* Merge pull request #1918 from whitequark/simplify-improve_enumwhitequark2020-04-151-5/+3
|\
| * ast/simplify: improve enum handling.whitequark2020-04-151-5/+3
* | Fix 5bba9c3, closes #1876Claire Wolf2020-04-141-7/+13
* | Merge pull request #1879 from jjj11x/jjj11x/package_declwhitequark2020-04-141-0/+23
|\ \
| * | support using previously declared types/localparams/params in packageJeff Wang2020-04-071-0/+23
| |/
* / duplicated enum item names should result in an errorJeff Wang2020-04-071-2/+3
|/
* Merge pull request #1853 from YosysHQ/eddie/fix_dynsliceEddie Hung2020-04-021-1/+2
|\
| * ast: cap dynamic range select to size of signal, suppresses warningsEddie Hung2020-04-011-1/+2
* | kernel: big fat patch to use more ID::*, otherwise ID(*)Eddie Hung2020-04-023-182/+170
* | kernel: use more ID::*Eddie Hung2020-04-023-53/+53
* | Merge pull request #1845 from YosysHQ/eddie/kernel_speedupEddie Hung2020-04-022-37/+43
|\ \
| * | kernel: more pass by const ref, more speedupsEddie Hung2020-03-182-37/+43
* | | Merge pull request #1848 from YosysHQ/eddie/fix_dynsliceClaire Wolf2020-04-011-1/+1
|\ \ \ | | |/ | |/|
| * | ast: simplify to fully populate dynamic slicing case transformationEddie Hung2020-03-311-1/+1
| |/
* | Merge pull request #1783 from boqwxp/astcc_cleanupEddie Hung2020-03-301-13/+20
|\ \
| * | Add explanatory comment about inefficient wire removal and remove superfluous...Alberto Gonzalez2020-03-301-4/+8
| * | Revert over-aggressive change to a more modest cleanup.Alberto Gonzalez2020-03-271-2/+3
| * | Clean up pseudo-private member usage in `frontends/ast/ast.cc`.Alberto Gonzalez2020-03-191-11/+13
| |/
* | Merge pull request #1607 from whitequark/simplify-simplify-meminitClaire Wolf2020-03-271-63/+82
|\ \
| * | ast: avoid intermediate wires/assigns when lowering to AST_MEMINIT.whitequark2020-02-071-65/+84
* | | Simplify was not being called for packages. Broke typedef enums.Peter Crozier2020-03-221-5/+8
* | | Fix NDEBUG warningsEddie Hung2020-03-191-1/+1
* | | Add precise locations for assertshuaixv2020-03-191-0/+1
| |/ |/|
* | Merge pull request #1718 from boqwxp/precise_locationsClaire Wolf2020-03-034-267/+253
|\ \
| * | Closes #1717. Add more precise Verilog source location information to AST and...Alberto Gonzalez2020-02-234-267/+253
* | | Merge pull request #1681 from YosysHQ/eddie/fix1663Claire Wolf2020-03-031-15/+13
|\ \ \
| * | | verilog: instead of modifying localparam size, extend init constant exprEddie Hung2020-02-051-15/+13
| | |/ | |/|
* | | Merge pull request #1724 from YosysHQ/eddie/abc9_specifyEddie Hung2020-03-022-12/+20
|\ \ \
| * | | ast: quiet down when deriving blackbox modulesEddie Hung2020-02-272-12/+20
| | |/ | |/|
* | | ast: fixes #1710; do not generate RTLIL for unreachable ternaryEddie Hung2020-02-271-9/+22
* | | Comment out log()Eddie Hung2020-02-271-1/+1
|/ /
* | Merge pull request #1703 from YosysHQ/eddie/specify_improveEddie Hung2020-02-211-7/+11
|\ \
| * | verilog: fix $specify3 checkEddie Hung2020-02-131-7/+11
* | | Merge pull request #1642 from jjj11x/jjj11x/sv-enumClaire Wolf2020-02-204-16/+221
|\ \ \ | |/ / |/| |
| * | remove unnecessary blank lineJeff Wang2020-02-171-2/+1
| * | add attributes for enumerated values in ilangJeff Wang2020-02-172-1/+68
| * | separate out enum_item/param implementation when they should be differentJeff Wang2020-02-171-7/+16
| * | fix bug introduced by not taking all of PeterCrozier's changes in 16ea4ea6Jeff Wang2020-01-171-4/+6
| * | fix enum in generate blocksJeff Wang2020-01-161-0/+20