aboutsummaryrefslogtreecommitdiffstats
Commit message (Expand)AuthorAgeFilesLines
...
* | Revert 90be0d8 as it causes endless loops for some designsClifford Wolf2017-10-141-1/+0
* | Add "verific -vlog-libdir"Clifford Wolf2017-10-131-0/+12
* | Add "verific -vlog-incdir" and "verific -vlog-define"Clifford Wolf2017-10-131-0/+35
* | Update Verific READMEClifford Wolf2017-10-131-0/+7
* | Merge pull request #434 from Kmanfi/vector_fixClifford Wolf2017-10-121-0/+1
|\ \
| * | Fix input vector for reduce cells.Kaj Tuomi2017-10-121-0/+1
* | | Add Verific fairness/liveness supportClifford Wolf2017-10-121-11/+32
|/ /
* | Update ABC to hg rev 6283c5d99b06Clifford Wolf2017-10-111-1/+1
* | Merge branch 'master' of github.com:cliffordwolf/yosysClifford Wolf2017-10-1028-211/+234
|\ \
| * | Rewrite ABC output to include proper net names in timing reportClifford Wolf2017-10-101-2/+17
| * | Add timing constraints to osu035 exampleClifford Wolf2017-10-103-2/+4
| * | Remove some dead codeClifford Wolf2017-10-101-15/+0
| * | Allow $past, $stable, $rose, $fell in $global_clock blocksClifford Wolf2017-10-101-1/+5
| |/
| * Add $shiftx support to verilog front-endClifford Wolf2017-10-071-0/+17
| * Update ABC to hg rev 0fc1803a77c0Clifford Wolf2017-10-061-1/+1
| * Clean whitespace and permissions in techlibs/intelLarry Doolittle2017-10-0521-190/+190
* | Start work on pre-processor for Verific SVA propertiesClifford Wolf2017-10-101-10/+153
|/
* Improve handling of Verific errorsClifford Wolf2017-10-051-11/+9
* Improve Verific error handling, check VHDL static assertsClifford Wolf2017-10-041-11/+25
* Add blackbox commandClifford Wolf2017-10-042-0/+82
* Fix nasty bug in Verific bindingsClifford Wolf2017-10-041-1/+1
* Merge branch 'pr_ast_const_funcs' of https://github.com/udif/yosysClifford Wolf2017-10-032-14/+14
|\
| * Turned a few member functions into const, esp. dumpAst(), dumpVlog().Udi Finkelstein2017-09-302-14/+14
* | Merge branch 'fix_shift_reduce_conflict' of https://github.com/udif/yosysClifford Wolf2017-10-031-3/+5
|\ \
| * | Resolved classical Bison IF/THEN/ELSE shift/reduce conflict using the textboo...Udi Finkelstein2017-09-301-3/+5
| |/
* | Merge branch 'dh73-master'Clifford Wolf2017-10-0331-729/+2965
|\ \ | |/ |/|
| * Rename "write_verilog -nobasenradix" to "write_verilog -decimal"Clifford Wolf2017-10-032-20/+14
| * Tested and working altsyncarm without init filesdh732017-10-012-57/+59
| * Fixed wrong declaration in Verilog backenddh732017-10-011-3/+3
| * Adding Cyclone IV (E, GX), Arria 10, Cyclone V and LPM functions (ALTPLL and ...dh732017-10-0131-730/+2970
|/
* Add first draft of eASIC back-endClifford Wolf2017-09-292-0/+191
* Fix synth_ice40 doc regarding -top defaultClifford Wolf2017-09-291-1/+1
* Allow $size and $bits in verilog mode, actually check test caseClifford Wolf2017-09-293-1/+3
* Merge pull request #425 from udif/udif_dollar_bitsClifford Wolf2017-09-292-1/+103
|\
| * $size() now works correctly for all cases!Udi Finkelstein2017-09-262-22/+28
| * $size() seems to work now with or without the optional parameter.Udi Finkelstein2017-09-262-18/+58
| * enable $bits() and $size() functions only when the SystemVerilog flag is enab...Udi Finkelstein2017-09-261-1/+1
| * Added $bits() for memories as well.Udi Finkelstein2017-09-262-8/+31
| * $size() now works with memories as well!Udi Finkelstein2017-09-262-3/+7
| * Add $size() function. At the moment it works only on expressions, not on memo...Udi Finkelstein2017-09-262-0/+29
|/
* Merge pull request #421 from stephengroat/osx-travisClifford Wolf2017-09-283-2/+12
|\
| * delete bad backslashStephen2017-09-271-1/+1
| * forgot to install bundlesStephen2017-09-271-0/+1
| * Add osx tests using brew bundleStephen Groat2017-09-273-2/+11
|/
* Increase maximum LUT size in blifparse to 12 bitsClifford Wolf2017-09-271-1/+1
* Parse reals as string in JSON front-endClifford Wolf2017-09-261-0/+28
* Merge branch 'vlogpp-inc-fixes'Clifford Wolf2017-09-261-41/+69
|\
| * Minor coding style fixClifford Wolf2017-09-261-1/+1
| * Merge branch 'master' of https://github.com/combinatorylogic/yosys into combi...Clifford Wolf2017-09-261-41/+69
|/|
| * Adding support for string macros and macros with arguments after includecombinatorylogic2017-09-211-41/+69