summaryrefslogtreecommitdiffstats
path: root/counter.vhd
diff options
context:
space:
mode:
authorJames <31272717+gpd-pocket-hacker@users.noreply.github.com>2018-05-17 17:46:40 +0100
committerJames <31272717+gpd-pocket-hacker@users.noreply.github.com>2018-05-17 17:46:40 +0100
commit3769dd04597e39140755bd4b92023570e6fcde3c (patch)
tree2fe48152e573b383d073917868c559bdd8e20601 /counter.vhd
parent297375cf51e449ebd4a11aa05b0011016e40f72f (diff)
downloadrob_spdif-3769dd04597e39140755bd4b92023570e6fcde3c.tar.gz
rob_spdif-3769dd04597e39140755bd4b92023570e6fcde3c.tar.bz2
rob_spdif-3769dd04597e39140755bd4b92023570e6fcde3c.zip
tidy
Diffstat (limited to 'counter.vhd')
-rw-r--r--counter.vhd8
1 files changed, 4 insertions, 4 deletions
diff --git a/counter.vhd b/counter.vhd
index 9fad8b3..e77b1a5 100644
--- a/counter.vhd
+++ b/counter.vhd
@@ -8,9 +8,9 @@ use IEEE.numeric_std.all;
entity counter is
port
(
- divisor : in integer;
- clk : in std_logic;
- n_reset : in std_logic;
+ divisor : in integer;
+ clk : in std_logic;
+ n_reset : in std_logic;
pulse_out : out std_logic
);
end counter;
@@ -34,7 +34,7 @@ begin
if d < divisor then
d <= d + 1;
- q<='0';
+ q <= '0';
else
d <= (others => '0');
q <= '1';