summaryrefslogtreecommitdiffstats
path: root/counter.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'counter.vhd')
-rw-r--r--counter.vhd8
1 files changed, 4 insertions, 4 deletions
diff --git a/counter.vhd b/counter.vhd
index 9fad8b3..e77b1a5 100644
--- a/counter.vhd
+++ b/counter.vhd
@@ -8,9 +8,9 @@ use IEEE.numeric_std.all;
entity counter is
port
(
- divisor : in integer;
- clk : in std_logic;
- n_reset : in std_logic;
+ divisor : in integer;
+ clk : in std_logic;
+ n_reset : in std_logic;
pulse_out : out std_logic
);
end counter;
@@ -34,7 +34,7 @@ begin
if d < divisor then
d <= d + 1;
- q<='0';
+ q <= '0';
else
d <= (others => '0');
q <= '1';