From 3769dd04597e39140755bd4b92023570e6fcde3c Mon Sep 17 00:00:00 2001 From: James <31272717+gpd-pocket-hacker@users.noreply.github.com> Date: Thu, 17 May 2018 17:46:40 +0100 Subject: tidy --- counter.vhd | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) (limited to 'counter.vhd') diff --git a/counter.vhd b/counter.vhd index 9fad8b3..e77b1a5 100644 --- a/counter.vhd +++ b/counter.vhd @@ -8,9 +8,9 @@ use IEEE.numeric_std.all; entity counter is port ( - divisor : in integer; - clk : in std_logic; - n_reset : in std_logic; + divisor : in integer; + clk : in std_logic; + n_reset : in std_logic; pulse_out : out std_logic ); end counter; @@ -34,7 +34,7 @@ begin if d < divisor then d <= d + 1; - q<='0'; + q <= '0'; else d <= (others => '0'); q <= '1'; -- cgit v1.2.3