aboutsummaryrefslogtreecommitdiffstats
path: root/tests
Commit message (Expand)AuthorAgeFilesLines
* tests/aiger: Add missing .gitignoreMarcin Kościelnicki2020-02-151-0/+2
* Merge pull request #1701 from nakengelhardt/rpc-testMiodrag Milanović2020-02-143-7/+7
|\
| * make rpc frontend unix socket test less fragileN. Engelhardt2020-02-133-7/+7
* | Fine tune #1699 testsEddie Hung2020-02-131-14/+14
* | iopadmap: move \init attributes from outpad output to its inputEddie Hung2020-02-131-0/+37
|/
* Merge pull request #1679 from thasti/delay-parsingN. Engelhardt2020-02-131-0/+5
|\
| * add testcase for #1614Stefan Biereigel2020-02-031-0/+5
* | Merge pull request #1670 from rodrigomelo9/masterEddie Hung2020-02-104-0/+137
|\ \
| * | Added 'set -e' into tests/memfile/run-test.shRodrigo Alejandro Melo2020-02-061-0/+20
| * | Merge branch 'master' into masterRodrigo A. Melo2020-02-034-4/+84
| |\ \
| * \ \ Merge branch 'master' of https://github.com/YosysHQ/yosysRodrigo Alejandro Melo2020-02-032-0/+136
| |\ \ \
| * | | | Removed 'synth' into tests/memfile/run-test.shRodrigo Alejandro Melo2020-02-021-8/+8
| * | | | Added content1.dat into tests/memfileRodrigo Alejandro Melo2020-02-022-21/+81
| * | | | Added tests/memfile to 'make test' with an extra testcaseRodrigo Alejandro Melo2020-02-011-16/+10
| * | | | Added a test for the Memory Content File inclusion using $readmembRodrigo Alejandro Melo2020-02-013-0/+63
| | |_|/ | |/| |
* | | | xilinx: Add support for LUT RAM on LUT4-based devices.Marcin Kościelnicki2020-02-071-0/+20
* | | | xilinx: Initial support for LUT4 devices.Marcin Kościelnicki2020-02-073-1/+83
* | | | shiftx2mux: fix select out of boundsEddie Hung2020-02-052-1/+12
* | | | Merge pull request #1576 from YosysHQ/eddie/opt_merge_initEddie Hung2020-02-051-0/+49
|\ \ \ \
| * \ \ \ Merge remote-tracking branch 'origin/master' into eddie/opt_merge_initEddie Hung2020-01-2874-149/+1770
| |\ \ \ \
| * | | | | Add testcaseEddie Hung2019-12-131-0/+49
* | | | | | Merge pull request #1650 from YosysHQ/eddie/shiftx2muxEddie Hung2020-02-053-5/+115
|\ \ \ \ \ \
| * \ \ \ \ \ Merge remote-tracking branch 'origin/master' into eddie/shiftx2muxEddie Hung2020-02-0517-30/+474
| |\ \ \ \ \ \
| * | | | | | | Update tests with reduced areaEddie Hung2020-01-212-6/+6
| * | | | | | | Move from +/shiftx2mux.v into +/techmap.v; cleanupEddie Hung2020-01-211-4/+4
| * | | | | | | New techmap +/shiftx2mux.v which decomposes LSB first; better for ABCEddie Hung2020-01-211-0/+110
* | | | | | | | abc9_ops: -reintegrate to use derived_type for box_portsEddie Hung2020-02-051-1/+21
| |/ / / / / / |/| | | | | |
* | | | | | | Merge pull request #1638 from YosysHQ/eddie/fix1631Eddie Hung2020-02-051-0/+66
|\ \ \ \ \ \ \ | |_|_|_|_|_|/ |/| | | | | |
| * | | | | | More rigorous testEddie Hung2020-01-161-7/+34
| * | | | | | clk2fflogic: work for bit-level $_DFF_* and $_DFFSR_*Eddie Hung2020-01-151-0/+39
* | | | | | | Add opt_lut_ins pass. (#1673)Marcelina Kościelnicka2020-02-034-4/+84
| |_|_|_|_|/ |/| | | | |
* | | | | | sv: More tests for wildcard port connectionsDavid Shah2020-02-021-0/+57
* | | | | | hierarchy: Correct handling of wildcard port connections with default valuesDavid Shah2020-02-021-0/+11
* | | | | | sv: Add tests for wildcard port connectionsDavid Shah2020-02-021-0/+56
* | | | | | Merge pull request #1647 from YosysHQ/dave/sprintfDavid Shah2020-02-021-0/+12
|\ \ \ \ \ \ | |_|_|_|_|/ |/| | | | |
| * | | | | ast: Add support for $sformatf system functionDavid Shah2020-01-191-0/+12
| | |/ / / | |/| | |
* | | | | Merge pull request #1559 from YosysHQ/efinix_test_fixMiodrag Milanović2020-01-291-1/+1
|\ \ \ \ \
| * | | | | Updated test to use assert-maxMiodrag Milanovic2020-01-281-1/+1
| * | | | | Fix for non-deterministic testMiodrag Milanovic2019-12-071-1/+1
* | | | | | Add "help -all" and "help -celltypes" sanity testEddie Hung2020-01-281-0/+2
| |_|_|_|/ |/| | | |
* | | | | Merge pull request #1660 from YosysHQ/eddie/abc9_unpermute_lutsEddie Hung2020-01-282-0/+102
|\ \ \ \ \
| * | | | | Import tests from #1628Eddie Hung2020-01-272-0/+102
* | | | | | Merge pull request #1567 from YosysHQ/eddie/sat_init_warningClaire Wolf2020-01-281-0/+11
|\ \ \ \ \ \
| * | | | | | Even more obvious testcaseEddie Hung2019-12-111-6/+5
| * | | | | | Make testcase clearer with \o having its own initEddie Hung2019-12-111-0/+2
| * | | | | | Add test: 'Warning: ignoring initial value on non-register: \o'Eddie Hung2019-12-111-0/+10
| | |_|_|_|/ | |/| | | |
* | | | | | Merge pull request #1573 from YosysHQ/eddie/xilinx_tristateN. Engelhardt2020-01-281-0/+5
|\ \ \ \ \ \ | |_|/ / / / |/| | | | |
| * | | | | Add testEddie Hung2019-12-121-0/+5
| |/ / / /
* | | | | Merge pull request #1619 from YosysHQ/eddie/abc9_refactorEddie Hung2020-01-273-0/+60
|\ \ \ \ \
| * | | | | simple_abc9 tests to discard whitebox before write for simEddie Hung2020-01-232-2/+3