aboutsummaryrefslogtreecommitdiffstats
path: root/tests/verilog
Commit message (Collapse)AuthorAgeFilesLines
...
* verilog: significant block scoping improvementsZachary Snow2021-01-319-0/+173
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | This change set contains a number of bug fixes and improvements related to scoping and resolution in generate and procedural blocks. While many of the frontend changes are interdependent, it may be possible bring the techmap changes in under a separate PR. Declarations within unnamed generate blocks previously encountered issues because the data declarations were left un-prefixed, breaking proper scoping. The LRM outlines behavior for generating names for unnamed generate blocks. The original goal was to add this implicit labelling, but doing so exposed a number of issues downstream. Additional testing highlighted other closely related scope resolution issues, which have been fixed. This change also adds support for block item declarations within unnamed blocks in SystemVerilog mode. 1. Unlabled generate blocks are now implicitly named according to the LRM in `label_genblks`, which is invoked at the beginning of module elaboration 2. The Verilog parser no longer wraps explicitly named generate blocks in a synthetic unnamed generate block to avoid creating extra hierarchy levels where they should not exist 3. The techmap phase now allows special control identifiers to be used outside of the topmost scope, which is necessary because such wires and cells often appear in unlabeled generate blocks, which now prefix the declarations within 4. Some techlibs required modifications because they relied on the previous invalid scope resolution behavior 5. `expand_genblock` has been simplified, now only expanding the outermost scope, completely deferring the inspection and elaboration of nested scopes; names are now resolved by looking in the innermost scope and stepping outward 6. Loop variables now always become localparams during unrolling, allowing them to be resolved and shadowed like any other identifier 7. Identifiers in synthetic function call scopes are now prefixed and resolved in largely the same manner as other blocks before: `$func$\func_01$tests/simple/scopes.blk.v:60$5$\blk\x` after: `\func_01$func$tests/simple/scopes.v:60$5.blk.x` 8. Support identifiers referencing a local generate scope nested more than 1 level deep, i.e. `B.C.x` while within generate scope `A`, or using a prefix of a current or parent scope, i.e. `B.C.D.x` while in `A.B`, `A.B.C`, or `A.B.C.D` 9. Variables can now be declared within unnamed blocks in SystemVerilog mode Addresses the following issues: 656, 2423, 2493
* sv: fix support wire and var data type modifiersZachary Snow2021-01-202-0/+42
|
* Merge pull request #2380 from Xiretza/parallel-testsclairexen2020-10-011-19/+3
|\ | | | | Clean up and parallelize testsuite
| * tests: Centralize test collection and Makefile generationXiretza2020-09-211-19/+3
| |
* | Update .gitignoreDavid Shah2020-10-011-0/+2
| | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
* | add testsN. Engelhardt2020-09-282-0/+49
|/
* Merge pull request #2080 from YosysHQ/eddie/fix_test_warningsEddie Hung2020-06-031-1/+1
|\ | | | | tests: reduce test warnings
| * tests: fix some test warningsEddie Hung2020-05-251-1/+1
| |
* | test: add attribute-before-stmt test from @nakengelhardtEddie Hung2020-05-251-0/+15
| |
* | verilog: do not warn for attributes on null statementsEddie Hung2020-05-251-4/+4
| |
* | tests: add an generate-else test tooEddie Hung2020-05-251-0/+34
| |
* | tests: add #2037 testcaseEddie Hung2020-05-251-0/+9
|/
* Merge pull request #2057 from YosysHQ/eddie/fix_task_attrEddie Hung2020-05-211-0/+28
|\ | | | | verilog: support attributes before (not after) task identifier (but 13 s/r conflicts)
| * tests: attributes before task enableEddie Hung2020-05-141-0/+28
|
* Merge pull request #2045 from YosysHQ/eddie/fix2042Eddie Hung2020-05-144-0/+93
|\ | | | | verilog: error if no direction given for task arguments, default to input in SV mode
| * test: add another testcase as per @nakengelhardtEddie Hung2020-05-141-0/+25
| |
| * tests: update/extend task argument testsEddie Hung2020-05-132-2/+35
| |
| * tests: add #2042 testcaseEddie Hung2020-05-111-0/+12
| |
| * Setup tests/verilog properlyEddie Hung2020-05-112-0/+23
|
* techlibs/common: more robustness when *_WIDTH = 0Eddie Hung2020-05-051-1/+0
|
* test: add failing testEddie Hung2020-05-041-0/+5